CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - vhdl

搜索资源列表

  1. full_add

    0下载:
  2. 一个用VHDL语言编写的8位全加器,并且扩展了减法功能,带有状态位的判断。-a VHDL prepared by the eight-adder, and extends the subtraction function, with state-of judgment.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:124574
    • 提供者:陈晓岚
  1. 0809

    0下载:
  2. 0809控制器程序 VHDL编写的 仅供参考-0809 controller procedures prepared by the VHDL is for reference only
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1851
    • 提供者:zhangbin
  1. washing

    1下载:
  2. 洗衣机控制器 做课程设计的同学可以下了看看 用vhdl语言做的 -washing machine controller design courses so students can see where the use of the VHDL language
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1766
    • 提供者:李莫普
  1. VHDL100li

    0下载:
  2. 100个关于VHDL的例子程序,总有几个会适合你-100 examples of VHDL procedures, and the total number would be suitable for you
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:45127
    • 提供者:贝维立
  1. VHDL_conduct

    0下载:
  2. 这是VHDL数字系统设计的试验指导书,里面有许多好的例子。-VHDL digital system design guide the pilot, there are many good examples.
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:606820
    • 提供者:sunrain
  1. mc8051_core

    0下载:
  2. 一个用VHDL写的8051的内核,很方便集成到FPGA里.-a written VHDL 8051 kernel, is a convenient integrated into the FPGA Lane.
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:394114
    • 提供者:武第
  1. turbo_VHDL

    2下载:
  2. Turbo Decoder Release 0.3 * Double binary, DVB-RCS code * Soft Output Viterbi Algorithm * MyHDL cycle/bit accurate model * Synthesizable VHDL model -Turbo Decoder Release 0.3 * Double binary, DVB-RCS code * Soft Output Viterbi Algorithm * M
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:154770
    • 提供者:鲁京
  1. 112312312312312

    0下载:
  2. 计时器的vhdl码 -timer code in vhdl
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1393
    • 提供者:heyong
  1. clk_div3

    0下载:
  2. vhdl语言写的基数分频器,多平台,通过MODESIM仿真-vhdl language to write the base dividers, multi-platform, through simulation MODESIM
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:39431
    • 提供者:xiaoshichang
  1. usb_funct

    0下载:
  2. USB接口的VHDL源码,支持Verilog HDL程序-USB VHDL source code, supports Verilog HDL procedures
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:230536
    • 提供者:王森
  1. ad_s_machine

    0下载:
  2. 用VHDL实现A/D转换的状态机的控制,所用的开发软件是QUATTUS6.0-using VHDL A / D conversion of the state machine control, used in the development of software is QUATTUS6.0
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:166462
    • 提供者:吴林煌
  1. 20060411131929393

    0下载:
  2. SOURCE INSIGHT的VHDL语法插件,SOURCE INSIGHT支持自动完成等功能,是一个不错的硬件语言编辑分析器-SOURCE INSIGHT VHDL syntax plug-ins, SOURCE INSIGHT done automatically, and other support functions, is a good language editing hardware analyzers
  3. 所属分类:编辑器/阅读器

    • 发布日期:2008-10-13
    • 文件大小:2071
    • 提供者:洪炉
  1. cymometer

    0下载:
  2. vhdl 实现的频率计,可以到实验箱上实现.-vhdl achieve the frequency, it can be to achieve experimental box.
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1801
    • 提供者:yly
  1. SPI-PRT

    0下载:
  2. 昨天在论坛上看到有人帖出了他写的并串转换VHDL代码,但是他自己说有问题,但是不知道怎么改。我大概看了一下,发现思路还是比较乱的。于是就写下了我自己的并串转换代码。-yesterday at the forum see someone points out his writing and string conversion VHDL code, But he said there are problems, but does not know how reform. I probably wat
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:1013
    • 提供者:ZHAOBOO
  1. 95108325

    0下载:
  2. 通过CPLD实现串行通信之VHDL语言,好看易懂-through CPLD serial communications VHDL, pretty easy to understand
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:2627
    • 提供者:dengri
  1. jicifenpinqi

    0下载:
  2. 别人编写的奇次分频器,用VHDL写的,我已经在QUARTUS上验证过了-others prepared by the odd dividers, VHDL write, I have QUARTUS tested the
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:28937
    • 提供者:yuxyoo
  1. jhvhjhk

    0下载:
  2. 乒乓球游戏机实验报告实验人: 大火虎设计课题: 用VHDL设计一个乒乓球游戏机,用开关来摸拟球手及裁判,用LED来模拟乒乓球,采用每局十一球赛制,比分由七段显示器显示. 设计思路: 采用按功能分块,将整个电路分成若干子程序,利用不同的子程序来实现记分,显示,键盘控制。设计过程: 1) 对4MHZ信号进行分频,得到所需的1HZ,及七段显示器所需的频率.存为CLOCKMAKE.VHD(注:仿真时所加的信号频率比这要高。)。 2) 设计一个子程序来描述裁判,左击球手,右击球手的动作对LED显示的影响,
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1120
    • 提供者:slw
  1. cnt10

    0下载:
  2. 用VHDL语言编的带有异步清零功能的十进制计数器-using VHDL addendum to the asynchronous reset function with the decimal counter
  3. 所属分类:编辑器/阅读器

    • 发布日期:2008-10-13
    • 文件大小:30560
    • 提供者:yanyuntao
  1. and1

    0下载:
  2. 用VHDL语言编写的三位二进制的乘法器,其原理是每位相乘后再错位相加-using VHDL prepared by the three binary multipliers, the principle is that each subsequent dislocation multiplication sum
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:36528
    • 提供者:yanyuntao
  1. sub4

    0下载:
  2. 用VHDL语言编写的两个四位二进制数相减,其结果会出现进位-using VHDL prepared by the two four binary-phase reduction, and the results will be there to rounding
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:32865
    • 提供者:yanyuntao
« 1 2 3 4 5 67 8 9 10 11 ... 37 »
搜珍网 www.dssz.com