搜索资源列表
senser
- 凭借它在手机技术领域的地位、在射频半导体工艺方面的广泛知识,飞思卡尔提供了符合IEEE 802.15.4标准的MC13192射频数据调制解调器。这种功能丰富的双向2.4GHz收发器带有一个数据调制解调器,可在ZigBee™ 技术应用中使用。它还具有一个优化的数字核心,有助于降低MCU处理功率,缩短执行周期。4个定时比较器使用一个性能较低、价格低廉的MCU,从而能够降低成本。广泛的中断维修服务使软件开发更具灵活性。PF IC和MCU之间使用串行外围接口(SPI)连接,从而使用户能够使用飞
ieee_matrix
- 用汇编写的矩阵乘法和IEEE浮点数转换 -was compiled using the matrix multiplication and IEEE floating point conversion
IEEEchangeBCDfloat
- 标准的四字节IEEE浮点型数据转换为BCD码源代码,通过这个转换程序可以把数据送到数码管上显示数据了,很实用的哦对用汇编语言包来写的同胞-Standard four-byte IEEE floating-point type data into a BCD code source code, through the conversion process can control data sent to the digital display data, it is practical to us
jishuqi
- 在用VHDL语言描述一个计数器时,如果使用了程序包ieee.std_logic_unsigned,则在描述计数器时就可以使用其中的函数“+”(递增计数)和“-”(递减计数)。假定设计对象是增1计数器并且计数器被说明为向量,则当所有位均为‘1’时,计数器的下一状态将自动变成‘0’。举例来说,假定计数器的值到达“111”是将停止,则在增1之前必须测试计数器的值。 如果计数器被说明为整数类型,则必须有上限值测试。否则,在计数顺值等于7,并且要执行增1操作时,模拟器将指出此时有错误发生 -VHD
GF8051
- Go Fast Floating Point libraries for double float operations bit shifted on an 8bit microcontroller. Includes C and ASM source code, libraries and documentation for Franklin Kiel embedded C compliler.
1-3
- 设浮点数采用IEEE的32位标准浮点格式,求两个浮点数之和或之差,例如 0.97702828142+0.97570213004=? 0. 97570213004-0.97702828142=?-Based 32-bit floating point using IEEE standard floating-point format, seeking the sum of two floating-point numbers, or the difference between, for
1
- 加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is signal abc: std_logic_vector(0 t
sy1
- 28M分频器 D触发器 jk触发器 library ieee -library ieee use ieee.std_logic_1164.all use ieee.std_logic_arith.all use ieee.std_logic_unsigned.all entity ymq is port(num:in std_logic_vector(3 downto 0) dout:out std_logic_vect
sy4
- D74LS74 JK74ls112. LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY D74LS74 is port(clk,clr,PRE,D:in std_logic QT,QTN:out std_logic) end ENTITY D74LS74 architecture bhv of D74LS74 is signal q,qn:std_logic signal x:std_logic
AFSA
- 内容为基于人工鱼群算法的输电网络扩展规划的.m文件,采用ieee6节点为模型。包括潮流计算和过负荷计算子程序,六节点系统算法主程序,觅食及追尾行为子程序,算法定义参数设置子程序,算法中各参数赋值子程序,线路参数读取子程序等。-matlab,grid planning,artificial fish swarm algorithm(AFSA),ieee 6-bus
IEEE-12bus_01_sources
- 基于pscad仿真软件的IEEE 12节点模型,psc文件,对很多仿真有用-Based the simulation software pscad IEEE 12 node model, psc file, a lot of simulation
39refOriLoad
- 基于PSCAD仿真软件的IEEE 39-bus network (New England network)模型,经典!-PSCAD simulation software of the IEEE 39-bus network (New England network)-based model, classic!
IEEE-9-chaoliujisuanchengxu
- 用于电力系统潮流计算的程序,IEEE9节点算例,可以改成自己想要的节点算例-Program for power flow calculation, IEEE9 node examples, you can change the node you want examples
SPI-Core_nguyen
- SPI Master Core HDL: VHDL 93 Compatibility: all FPGAs, CPLDs parameterization: - variable data width - Phase/polarity configurable - selectable buffer depth - serial clock devision due to system clock package usage: IEEE
LIBRARY-IEEE
- 加法计数器的设计 任意进制的计数器设计-LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY sun IS PORT(ENA,CLK_IN,CLR:IN STD_LOGIC Y:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) END sun ARCHITECTURE A OF sun IS SIGNAL CLK:STD_LOGIC SIGNAL TEMP:INTEG
library-ieee
- 3位计数器显示,可以测量1到10Mhz的频率,还可以刷新和保存数据的呢-display 3 numbers
modulation
- 基于FPGA的QPSK调制library ieee use ieee.std_logic_1164.all -FPGA QPSK modulation
