CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 信号仿真

搜索资源列表

  1. 基于数字信号处理器(DSP)的移相调频

    2下载:
  2. :介绍了一种基于数字信号处理器(DSP)的移相调频(Phase-Shifted and Frequency-Varied,PSFV)PWM控制 逆变电源,给出了主电路拓扑结构,分析了其控制原理并设计了其控制程序流程图。新颖的PSFV 控制能够实现输出 电压90%的调整率,输出电流波动小于单纯移相调功PWM方式,并在轻载时保持连续。功率开关器件零电压零电流 通断(Zero-Voltage-Zero-Current Switching,ZVZCS)软开关的实现,有利于进一步提高开关
  3. 所属分类:软件工程

    • 发布日期:2014-01-20
    • 文件大小:92911
    • 提供者:谢智阳
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. 正负脉宽数控调制信号发生器的VHDL程序设计

    0下载:
  2. 介绍了VHDL 语言在正负脉宽数控调制信号发生器中的具体应用,给出了仿真 波形,说明了实现电子电路的自动化设计( EDA) 过程和EDA 技术在现代数字 系统中的重要地位及作用.
  3. 所属分类:文档资料

  1. saber使用教程

    1下载:
  2. saber混合信号仿真软件的详细使用资料
  3. 所属分类:软件工程

  1. 心电信号预处理技术及基于Matlab的陷波器设计

    0下载:
  2. 详细阐述心电信号的特点及相关的预处理技术。心电信号是低频微弱信号,且处于强噪声背景下,特别是50Hz的工频干扰。本文利用Matlab提供的工具箱,高效地设计出了满足实际要求的滤波器,经仿真后证明了其有效性。
  3. 所属分类:文档资料

  1. MSK信号的数字解调新方法

    0下载:
  2. 近年来通信信号的数字解调技术引起了人们的广泛关注, 本文根据M SK 信号的数学特征, 提出了一种基于MSK 信号特殊点FFT 的实部或虚部比较判决的方法来实现M SK 信号的数字解调。推导了在高斯白噪声背景下该方法的误码情况。计算机仿真结果表明该算法是简单、有效的。与传统的M SK 最佳解调方法相比本算法对载波频偏不敏感, 可以应用于一些通信侦察和截获的场合。
  3. 所属分类:文档资料

  1. 信号循环平稳经典文献4

    0下载:
  2. 在发一遍。信号循环平稳经典文献,可以用来仿真。
  3. 所属分类:文档资料

    • 发布日期:2010-10-13
    • 文件大小:2738949
    • 提供者:superzhang
  1. FM调制解调系统设计与仿真

    4下载:
  2. 调制解调根据所要调制参数的不同分为调幅(AM),调频(FM),调相(PM)。本设计要求进行FM调制解调进行设计与仿真,得到最后输出波形。具体包括: 1):FM调制解调的基本原理 2):FM调制解调系统设计,要求输入信号叠加白噪声 3):FM调制解调系统仿真,要求通过matlab软件得到输出波形。
  3. 所属分类:文档资料

  1. 基于Matlab仿真的联合变换相关器实验

    1下载:
  2. 利用Matlab软件平台对JTC进行了图像识别仿真实验,得到了二维及三维相关输出图像,显示出尖锐的相关峰。仿真实验表明:联合变换进行图像识别中存在强烈的零级干扰,干扰信号是有用信号的7.14倍,输出结果不理想;采用功率谱相减法可以消除零级强干扰,进而提高了图像的识别能力。
  3. 所属分类:报告论文

  1. 基于MATLAB的阵列信号处理仿真方法

    0下载:
  2. 基于MATLAB的阵列信号处理仿真方法
  3. 所属分类:文档资料

    • 发布日期:2011-03-18
    • 文件大小:246055
    • 提供者:wonderlydie
  1. 几种调制信号的仿真

    2下载:
  2. 介绍几种常见的通信中载波调制仿真,用SIMULINK进行仿真,有频谱示意图,仿真原理图的图示等
  3. 所属分类:编程文档

    • 发布日期:2012-06-15
    • 文件大小:277504
    • 提供者:CHAWCHA
  1. dmusicone

    0下载:
  2. 此算法对阵元信号进行估计,仿真结果显示其有效性-dmusic estimation
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:902
    • 提供者:肖雨
  1. dddd

    0下载:
  2. 通信仿真学习必备,基于MATLAB的数字调制信号仿真系统设计-Learn essential communication simulation, MATLAB-based Simulation System for digital modulation signals
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:192547
    • 提供者:nannyp
  1. EDA 四路模拟信号循环采集

    0下载:
  2. 对思路模拟信号进行循环采集并在Signal Tap 上显示出来。利用QuartusII软件编程,分为几个模块,都有详细的代码和仿真图。已经试验验证正确。
  3. 所属分类:软件工程

    • 发布日期:2013-08-02
    • 文件大小:208896
    • 提供者:136483zhuxiaoyi
  1. 终极版数字基带信号传输系统性能仿真

    0下载:
  2. 数字基带信号的系统仿真。包括论文,目录等一切,一个仿真(System simulation of digital baseband signals)
  3. 所属分类:系统设计方案

    • 发布日期:2017-12-18
    • 文件大小:226304
    • 提供者:小蓉蓉
  1. Signal

    3下载:
  2. 用于轴承转轴信号仿真,构建仿真信息,以此基础上添加故障信号,可用于轴承信号分析。(It is used to simulate the signal of bearing rotating shaft and construct the simulation information.)
  3. 所属分类:文章/文档

    • 发布日期:2018-01-09
    • 文件大小:1024
    • 提供者:小珩哥
  1. 无纸记录仪信号采集电路仿真

    0下载:
  2. 无纸记录仪信号采集电路Multisim仿真,可以运行,有标注(No paper recorder signal acquisition circuit Multisim simulation, can run, have annotation)
  3. 所属分类:系统设计方案

    • 发布日期:2018-04-18
    • 文件大小:217088
    • 提供者:wirture
  1. 声呐技术

    2下载:
  2. cw和lfm信号仿真,时域波形,频域波形,模拟图,适合新手学习(CW and LFM signal simulation)
  3. 所属分类:文章/文档

    • 发布日期:2018-05-01
    • 文件大小:141312
    • 提供者:卫小庄
  1. 线性调频(LFM)脉冲压缩雷达仿真 - 副本

    1下载:
  2. 线性调频信号脉冲压缩的原理及matlab仿真的详细步骤,进一步理解雷达成像的基础知识(The principle of pulse compression of LFM signal and the detailed steps of MATLAB simulation further understand the basic knowledge of radar imaging)
  3. 所属分类:文章/文档

    • 发布日期:2020-08-27
    • 文件大小:165888
    • 提供者:清石
  1. uwb信号

    0下载:
  2. uwb信号仿真,超宽带无线电基础代码,uwb信号的频谱分析
  3. 所属分类:电子商务

    • 发布日期:2020-05-03
    • 文件大小:68366550
    • 提供者:qqwert
« 12 3 4 5 6 7 8 9 10 ... 21 »
搜珍网 www.dssz.com