CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - altera

搜索资源列表

  1. EP4CE6

    0下载:
  2. altera 公司提供的 ep4ce6 Pin Information-altera company ep4ce6 Pin Information
  3. 所属分类:Project Design

    • 发布日期:2017-03-22
    • 文件大小:105211
    • 提供者:sean
  1. Altera-USB-Blaster-clone

    0下载:
  2. USB-blaster clone PIC18F2550 -USB-blaster clone PIC18F2550
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:76187
    • 提供者:NickC
  1. ug_avalon_tc

    0下载:
  2. altera公司avalon总线使用说明,希望对大家有帮助,欢迎使用,-altera company avalon bus instructions for use, we hope to welcome to use, thank you
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:292428
    • 提供者:dp
  1. ug_sopc_builder

    0下载:
  2. altera公司SOPC使用说明,希望对大家有帮助,欢迎使用,谢谢。-altera company SOPC instructions for use, we hope to welcome to use, thank you
  3. 所属分类:Project Design

    • 发布日期:2017-05-06
    • 文件大小:1142479
    • 提供者:dp
  1. june2010_1

    0下载:
  2. 一种新型SOPC自动指纹识别系统设计,:本文设计了一种基于SOPC的新型结构的自动指纹识别系统。通过对指纹处理整体流程的选择和优化,把耗时较多的指纹预处理部分整体硬件化,耗时较少的匹配部分软件化,使得系统处理速度有了显著提高,1.5s内可以完成一幅指纹图像的预处理,3s内可以完成一幅指纹图像的比对。本设计使用Quartus II软件完成了系统模块设计及仿真,使用NiosⅡ IDE软件完成了软件代码的实现,并在以Altera 公司的Cyclone II FPGA芯片为核心的DE2开发板上实现了整个
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:610362
    • 提供者:kudding
  1. mar2010

    0下载:
  2. 基于FPGA的单精度浮点数乘法器设计,本文设计了一个基于FPGA的单精度浮点数乘法器。乘法器为五级流水线结构。设计中采用了改进的带偏移量的冗余Booth3算法和跳跃式Wallace树型结构,减少了部分积的数目,缩短了部分积累加的耗时;提出了对尾数定点乘法运算中Wallace树产生的2个伪和采用部分相加的处理方式,有效地提高了的运算速度;并且加入了对特殊值的处理模块,完善了乘法器的功能。单精度浮点数乘法器在Altera DE2开发板上进行了验证,其在Cyclone II EP2C35F672C6器
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:599831
    • 提供者:kudding
  1. 03_Design_with_Transceivers_CN

    0下载:
  2. Altera的高速收发器的ppt讲解,更好理解高速收发器-Altera' s high-speed transceiver ppt to explain, a better understanding of the high-speed transceiver
  3. 所属分类:software engineering

    • 发布日期:2017-05-01
    • 文件大小:792967
    • 提供者:lee
  1. PS2Keyboard_EN

    0下载:
  2. document VHDL for keyboard FPGA: Xilinx, Altera
  3. 所属分类:Communication

    • 发布日期:2017-12-05
    • 文件大小:473346
    • 提供者:NgocAnh
  1. altera_jtag_to_avalon_mm_tutorial

    0下载:
  2. jtag to avalon mm tutorial which can be used to altera hardware
  3. 所属分类:Project Design

    • 发布日期:2015-11-04
    • 文件大小:538624
    • 提供者:lina
  1. Nios_server

    0下载:
  2. Altera NicheStack network
  3. 所属分类:software engineering

    • 发布日期:2017-11-19
    • 文件大小:2749664
    • 提供者:tester
  1. CPLD

    0下载:
  2. 秒表的cpld系统图;Altera公司的芯片;-The stopwatch the cpld system Figure the Altera chip company
  3. 所属分类:Project Design

    • 发布日期:2017-11-10
    • 文件大小:71230
    • 提供者:房贷
  1. tse_ref_design

    1下载:
  2. altera 三速以太网参考设计,verilog源码-Triple Speed Ethernet Data Path Reference Design
  3. 所属分类:Communication

    • 发布日期:2017-11-15
    • 文件大小:1812809
    • 提供者:bluecike
  1. 8th-floor-elevator-controller

    0下载:
  2. ALTERA DE2仿真板 quartusII集成开发环境 8楼电梯控制器-8th floor elevator controller
  3. 所属分类:软件工程

    • 发布日期:2017-11-12
    • 文件大小:5169
    • 提供者:123
  1. 基于FPGA直接序列扩频系统的设计

    1下载:
  2. 针对一般无线通信系统抗干扰、抗噪声以及抗多径性能力差的缺点,提出了一种基于FPGA 的直接序列 扩频系统设计。该设计采用63 位的pn 码作为扩频调制的码序列,在发送端,对信息码进行扩频调制; 在接收端,对 收到的扩频调制信号进行解扩,增强了系统的抗干扰性和可靠性。同时在Altera 公司的Quartus II 软件中,使用硬件描 述语言VHDL 和原理图相结合的方法进行了电路的设计实现。通过把电路下载到Altera 公司的CycloneIII 的 EP3C10E144C8N 芯片中调试
  3. 所属分类:文件格式

    • 发布日期:2013-02-18
    • 文件大小:468566
    • 提供者:ymlhhb
  1. pacman_rel004_sp3e

    0下载:
  2. altera,de2,cyclon11,tetris, project
  3. 所属分类:Project Design

    • 发布日期:2017-11-17
    • 文件大小:186397
    • 提供者:daero.kim
  1. SHA-1ImplementationOnFPGA

    0下载:
  2. 希算法SHA-1算法广泛地应用于电子商务、商用加密软件等信息安全领域。通过对SHA.1算法的深入 分析,提出了流水线结构的硬件实现方案。通过缩短关键路径,使用片内RAM代替LE寄存器实现流水线中间变量 的数据传递,有效地提高了工作频率和单位SHA-1算法的计算速度。这种硬件结构在Altera系列芯片上的实现性能 是Ahera商用SHA-1算法IP核的3倍以上。-Hash algorithm SHA-1 is used widely in cryptographic applicati
  3. 所属分类:software engineering

    • 发布日期:2017-11-19
    • 文件大小:279755
    • 提供者:徐晓刚
  1. tutorial_niosII

    0下载:
  2. tutorial about nios II software processor of altera
  3. 所属分类:File Formats

    • 发布日期:2017-12-10
    • 文件大小:19508
    • 提供者:minou
  1. EP3C25Pin-Outs

    0下载:
  2. altera公司的可编程逻辑门阵列FPGA,引脚详细信息尽在于此,对pcb的绘制活原理图的设计非常有帮助-altera programmable logic gate array FPGA pin Details do in this very helpful, drawn live on the pcb schematic design
  3. 所属分类:Project Design

    • 发布日期:2017-11-18
    • 文件大小:209005
    • 提供者:yuanjia
  1. 15-04-0218-01-004a-ieee802-15-4-mac-overview

    0下载:
  2. THE IMPLENTATION OF THE MAC PROTOCOL USING THE FPGA ALTERA 3
  3. 所属分类:File Formats

    • 发布日期:2017-12-06
    • 文件大小:240640
    • 提供者:TUSHAR
  1. DE1_SD_Card_Audio

    0下载:
  2. an project of sd card codec on verilog from altera
  3. 所属分类:Communication

    • 发布日期:2017-11-15
    • 文件大小:6205440
    • 提供者:Andrey
« 1 2 ... 4 5 6 7 8 910 11 12 13 »
搜珍网 www.dssz.com