CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 调制解调

搜索资源列表

  1. 变采样率全数字相位载波解调技术

    0下载:
  2. 基于相位载波( PGC , Phase Generated Carrier) 解调各环节的信号频率范围,提出变采样率解调方案,解决高采样频率下的实时全数字PGC 解调问题.
  3. 所属分类:文档资料

  1. QPSK调制解调中的Gardner定时恢复算法研究与性能分析

    0下载:
  2. 对研究 软件调制解调的同志非常有帮助
  3. 所属分类:文档资料

    • 发布日期:2010-11-08
    • 文件大小:255363
    • 提供者:pumaxy
  1. FM调制解调系统设计与仿真

    4下载:
  2. 调制解调根据所要调制参数的不同分为调幅(AM),调频(FM),调相(PM)。本设计要求进行FM调制解调进行设计与仿真,得到最后输出波形。具体包括: 1):FM调制解调的基本原理 2):FM调制解调系统设计,要求输入信号叠加白噪声 3):FM调制解调系统仿真,要求通过matlab软件得到输出波形。
  3. 所属分类:文档资料

  1. 基于MatlabSimulink的16QAM调制解调系统

    3下载:
  2. 正交振幅调制技术(QAM)是一种功率和带宽相对高效的信道调制技术,因此在大容量数字微波通信系统、有线电视网络高速数据传输、卫星通信系统等领域得到了广泛应用。在移动通信中,随着微蜂窝和微微蜂窝的出现,使得信道传输特性发生了很大变化。过去在传统蜂窝系统中不能应用的正交振幅调制也引起了人们的重视。本文主要介绍基于Matlab Simulink的16QAM调制解调系统实现与仿真。
  3. 所属分类:报告论文

    • 发布日期:2011-03-08
    • 文件大小:407040
    • 提供者:wy.08@163.com
  1. IEEE+80211协议中GFSK调制解调的算法与实现.rar

    1下载:
  2. IEEE+80211协议中GFSK调制解调的算法与实现.rar
  3. 所属分类:其它文档

    • 发布日期:2011-12-13
    • 文件大小:320201
    • 提供者:gerudao
  1. BPSK调制解调

    2下载:
  2. 关于BPSK的调制解调的文档,其中有MATLABA程序,对于理解整个过程很有帮助
  3. 所属分类:其它文档

    • 发布日期:2012-07-06
    • 文件大小:28160
    • 提供者:liumangtutu1988
  1. FSK.rar

    2下载:
  2. FSK信号调制解调(含仿真和完整电路图)的设计方案,fsk
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:133304
    • 提供者:chen
  1. dianlixitong

    0下载:
  2. 本文利用核心芯片TDA5051A,采用ASK(幅度键控)调制技术,建立电力线通信系统,实现数字信号在电力线上进行传输。 关键词:电力线通信 TDA5051A 调制解调器 -In this paper, the core chip TDA5051A, using ASK (amplitude shift keying) modulation technology, the establishment of power line communication systems, digital
  3. 所属分类:File Formats

    • 发布日期:2017-04-09
    • 文件大小:1223039
    • 提供者:黄增华
  1. 111

    0下载:
  2. 2fsk调制解调器的DSP实现,对于 2FSK,调制就是把输入数字序列变成适合于信道传输的变频正弦波(2FSK 信号)-2fsk modem DSP implementation, for 2FSK, modulation is to enter a number sequence into a suitable transmission channel frequency sine wave (2FSK signal)
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:268162
    • 提供者:白痴小明明
  1. 4PSK

    0下载:
  2. 基于Matlab模拟实现,是基于Matlab的仿真,对软件的要求很高,要求用Matlab实现对信号的调制解调和对信道的模拟。-Matlab-based simulation implementation is based on the Matlab simulation, the software requirements, demanding to achieve with Matlab signal modulation and demodulation and analog channel
  3. 所属分类:Document

    • 发布日期:2017-04-05
    • 文件大小:429770
    • 提供者:刘小
  1. communication

    1下载:
  2. 基带信号传输、QAM调制解调、过采样的matlab实现,希望对有需要的人能有所帮助-Baseband signal transmission, QAM modulation and demodulation, oversampling realize matlab, hope to help people in need
  3. 所属分类:编程文档

    • 发布日期:2013-07-31
    • 文件大小:384756
    • 提供者:袁虎
  1. RS-232串口和调制解调器高级通信编程

    1下载:
  2. RS-232串口和调制解调器高级通信编程-RS-232 serial port and modem advanced communications programming
  3. 所属分类:编程文档

    • 发布日期:2017-06-14
    • 文件大小:21035629
    • 提供者:mali
  1. 针对DCR标准的4FSK调制解调系统中基带处理

    1下载:
  2. 本次研究的课题是主要针对DCR标准的4FSK调制解调系统中基带处理部分,即数字基带传输系统。其中基带传输系统包括基带发送端和基带接收端两个互逆过程,每个含有多个子模块,要进行对各个子模块进行分析,最后完成系统的实现。
  3. 所属分类:报告论文

  1. 瑞利信道中的调制解调 - 副本

    0下载:
  2. (1)请推导出单径瑞利信道中的BPSK相干解调的理论误码率性能,并画出比特信噪比与误码率的关系曲线。 (2)在单径瑞利信道中,请设计一种时分的导引辅助的信道估计方法,用Simulink进行仿真,测量BPSK的误码率性能,画出比特信噪比与误码率的关系曲线,并与(1)的曲线进行对比。((1) the theoretical error rate performance of BPSK coherent demodulation in single path Rayleigh channel is
  3. 所属分类:通讯编程文档

    • 发布日期:2018-01-08
    • 文件大小:28672
    • 提供者:matlab ss
  1. pi4DQPSK和pi_4CQPSK在移动信道中的性能仿真分析

    2下载:
  2. pi4 qpsk的付费论文,讲述基本调制解调的分析和衰落信道中性能的分析,差分实现原理,非常有用(Pi4 QPSK Pay Paper, describes the analysis of basic modulation and demodulation and performance analysis in fading channels, differential realization principle, very useful)
  3. 所属分类:文章/文档

    • 发布日期:2020-12-15
    • 文件大小:238592
    • 提供者:Haiton
  1. π4QPSK调制原理分析

    1下载:
  2. pi4 qpsk的付费论文,讲述基本调制解调的分析,差分实现原理,非常有用(Pi4 QPSK Pay Paper, describes the analysis of basic modulation and demodulation and performance analysis in fading channels, differential realization principle, very useful)
  3. 所属分类:文章/文档

    • 发布日期:2020-12-15
    • 文件大小:55296
    • 提供者:Haiton
  1. 2FSK调制与解调系统

    1下载:
  2. 2FSK 调制 解调 系统 是基带信号调制方式的一种 数字频率调制又称频移键控(FSK——Frequency Shift Keying),二进制频移键控记作2FSK.数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。本文是通过2PSK调制后的信号进行分析通过matlab实现(2FSK modulation and demodulation system is a digital frequency modulation, also known as
  3. 所属分类:文档资料

    • 发布日期:2020-11-09
    • 文件大小:262144
    • 提供者:eclipse_java
  1. 基于FPGA的FM调制解调器的设计

    0下载:
  2. 基于FPGA的FM调制解调器的设计与实现,论文资料,论文作者曹沅,论文资料
  3. 所属分类:报告论文

  1. fpga调制解调器

    0下载:
  2. 16qam调制解调器的设计步骤和仿真结果,适合初学者学习
  3. 所属分类:报告论文

  1. 基于MATLAB的ASK调制解调实现讲解

    0下载:
  2. 基于MATLAB的ASK调制解调实现讲解.利用Matlab语言实现数字频带系统仿真,实现ASK调制和解调,信道为AWGN信道,画出调制信号、已调信号的时域波形图与频谱图。
  3. 所属分类:报告论文

« 12 3 4 5 6 7 8 9 10 ... 15 »
搜珍网 www.dssz.com