CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 七段数码管显示

搜索资源列表

  1. 汇编七段数码管显示系统时间

    0下载:
  2. 双击启动程序。以暗色背景,白色前景的七段数码管显示当前系统时间。 按键。改变七段数码管的前景颜色。 按ESC。退出程序。 -double-click to launch the program. A dark background, the prospect of a white in paragraph 107 of the current digital control system show time. Keys. Changes in paragraph 107 of the
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2529
    • 提供者:成朝夕
  1. LED显示程序

    0下载:
  2. 用七段数码管显示分秒值,程序开始运行时,时间值为00-00,每隔一秒,时间值改变一次,从键盘上敲任意键,程序退出-with paragraph 107 of Digital Display Minutes value, operational procedures, time value of 00-00, every second, the time value of a change from nice arbitrary keys, exit procedures
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1162
    • 提供者:郭娜
  1. VC++七段数码管时间显示.rar

    0下载:
  2. VC++七段数码管时间显示, 可用于实际编程
  3. 所属分类:GDI/图象编程

  1. 学号显示

    0下载:
  2. 1.掌握 8255A 的功能及方式 0、1 的实现 2.熟悉 8255A 与 CPU 的接口,以及传输数据的工作原理及编程方法。 3.了解七段数码管显示数字的原理。 4.掌握同时显示多位数字的技术。
  3. 所属分类:汇编语言

  1. LED

    0下载:
  2. 利用LED 字体类生成LED字体,七段数码管效果,有显示数字DEMO-LED FONT CLASS ,GOOD TO USE
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-10
    • 文件大小:2033456
    • 提供者:杨旭
  1. MFC_Time

    1下载:
  2. MFC下写的一个模拟七段数码管显示时间的程序-MFC write under a simulated seven-segment digital display time-consuming procedures
  3. 所属分类:Windows Develop

    • 发布日期:2015-08-25
    • 文件大小:112916
    • 提供者:
  1. LedCtrlUse

    0下载:
  2. 实现浮点数的LED显示,模拟七段数码管显示数字及小数点,里面还包含数字键输入功能.-The realization of floating-point numbers of the LED display, analog Seven-Segment LED display figures and the decimal point, which also includes a numeric keypad input capabilities.
  3. 所属分类:Graph Drawing

    • 发布日期:2017-05-19
    • 文件大小:2418568
    • 提供者:super star
  1. freqm

    0下载:
  2. 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1054140
    • 提供者:tom
  1. SerialDriver

    0下载:
  2. 使用单片机串口输出,来控制七段数码管显示。绝对是网上找不到的源代码,绝对自创。-The use of single-chip serial output, to control the Seven-Segment LED display. Is absolutely unable to find the source code online, the absolute self.
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:9950
    • 提供者:liuyaxin
  1. WaveLine

    0下载:
  2. 一个能显示波形图的软件,还能以七段数码管显示数字。-A waveform diagram showing the software, but also to Seven-Segment LED display figure.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-23
    • 文件大小:112098
    • 提供者:rxw
  1. Seg7

    0下载:
  2. 这是关于七段码显示的基本程序,后面继续上传74HC595驱动七段数码管的驱动程序-7seg driver display
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-24
    • 文件大小:19967
    • 提供者:陈伯芝
  1. NIOS_seddisplay

    0下载:
  2. NIOS七段数码管显示系统设计,包括完整的硬件合软件设计-NIOS Seven-Segment LED Display System Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7754284
    • 提供者:张一
  1. display

    0下载:
  2. vhdl,七段数码管驱动程序,完成数字显示功能-vhdl, seven-segment digital tube driver, complete the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:86285
    • 提供者:王晓虎
  1. ContestResponder

    0下载:
  2. 逻辑开关K0~K7代表竞赛抢答按钮0~7号,当某个逻辑电平开关置“1”时,相当某组抢答按钮按下。在七段数码管上将其组号(0~7)显示出来。-Logic Switch K0 ~ K7 on behalf of race to answer in the button 0 ~ 7, when a logic level switch set to " 1" when the button is pressed to answer in quite a group. In the s
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:20231
    • 提供者:杨洁
  1. mfc+vc+七段数码管+时间显示

    0下载:
  2. mfc+vc+七段数码管+时间显示,用位图刷新显示时间,验证通过的(MFC vc + + 7 digital tube + time display)
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:33726464
    • 提供者:piaoye
  1. 七段数码管

    0下载:
  2. 使用python显示七段数码管。显示当前时间信息(use python to show the time)
  3. 所属分类:图形/文字识别

    • 发布日期:2017-12-16
    • 文件大小:1024
    • 提供者:pygame
  1. 动态显示

    0下载:
  2. 数码管是一种半导体发光器件,其基本单元是发光二极管。数码管按段数可分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元,也就是多一个小数点(DP)这个小数点可以更精确的表示数码管想要显示的内容(The digital tube is a semiconductor light emitting device. Its basic unit is a light emitting diode. According to the number of digital tube can
  3. 所属分类:单片机开发

    • 发布日期:2018-01-01
    • 文件大小:1020928
    • 提供者:niconi
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
  1. seg7

    0下载:
  2. 七段数码管显示驱动的设计,实现点亮开发板上的数码管(Design of seven segment digital tube display drive)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-01
    • 文件大小:595968
    • 提供者:快乐北极风
  1. 七段数码管显示

    0下载:
  2. 使用可编程并行I/O接口芯片8255、地址译码器、七段数码管等硬件,搭建七段数码管显示装置,实现键盘输入两个数字的ASCII码、数码管可动态显示这两个数字的功能。(Using programmable parallel I/O interface chip 8255, address decoder, seven segment digital tube and other hardware, build seven segment digital tube display device, re
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:12288
    • 提供者:1064199360
« 12 3 4 5 6 7 8 9 10 ... 22 »
搜珍网 www.dssz.com