CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 控制 设计

搜索资源列表

  1. 交通灯毕业设计

    1下载:
  2. 摘要 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月异更新。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机Intel8031和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8031芯片的P1口设置红、绿灯燃亮时间的功能;为了系统稳定可靠采用了MAX629“看门狗”芯片,避免了
  3. 所属分类:报告论文

    • 发布日期:2009-04-04
    • 文件大小:904704
    • 提供者:xcb7257@163.com
  1. 交通灯控制器设计

    3下载:
  2. (一) 设计交通灯控制的软硬件,实现交通灯的控制。 (二) 交通灯的红、绿、黄灯亮灯时间可以通过键盘设定。 (三) 交通灯控制要有直道、弯道及非机动车的通行、停止控制。 (四) 在某一方向通车或停车时,要有动态的指示,消除司机的烦躁情绪。 (五) 红灯停、绿灯行、黄灯停止未过线的机动车和停止方向的预启动。 (六) 黄灯亮的时间不可改变,预定5秒钟。
  3. 所属分类:源码下载

  1. 交通灯VHDL

    1下载:
  2. 相关知识 本实验要设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥双方向车辆通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 路*通灯控制系统的有东西路和南北路交通灯 R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。提供系统正常工作/复位和紧急情况两种工作模式。
  3. 所属分类:文档资料

  1. 基于VHDL语言的交通灯控制系统设计

    1下载:
  2. VHDL 交通灯控制系统 QuartusⅡ FPGA
  3. 所属分类:文档资料

  1. 两路十字路口的交通灯控制的VHDL源码

    2下载:
  2. 两路十字路口的交通灯控制的VHDL源码,毕业设计,,Two-way traffic lights at the crossroads of the VHDL source code control, graduation design,
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:77531
    • 提供者:wang
  1. 交通控制灯.rar

    0下载:
  2. 【微机原理与接口技术课程设计】【交通灯的Powerpoint的详细说明】,[Microcomputer Principle and Interface Technology Curriculum Design] [traffic lights Powerpoint detailed descr iption]
  3. 所属分类:assembly language

    • 发布日期:2017-03-24
    • 文件大小:46407
    • 提供者:陈周
  1. AT89S51单片机利用单片机控制交通灯

    1下载:
  2. 基于AT89S51单片机的交通灯设计并利用单片机控制交通灯,AT89S51 microcontroller-based design of traffic lights and traffic lights to control the use of single-chip
  3. 所属分类:汇编语言

    • 发布日期:2017-03-21
    • 文件大小:178950
    • 提供者:周远标
  1. FPGA交通灯控制设计程序

    0下载:
  2. FPGA交通灯控制设计程序 簡要代碼 --,FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:547
    • 提供者:魔xx
  1. 汇编实现交通灯控制系统.doc

    0下载:
  2. 交通灯的设计。运用汇编编写程序使 6 个灯按交通变化规律亮、灭或闪烁,且将时间显示在数码管上。十 字路*通灯变化规律要求: (1)、南北路口的绿灯、东西路口的红灯同时亮 30 秒。 (2)、南北路口的黄灯闪烁 3 次,同时东西路口的红灯继续亮。 (3)、南北路口的红灯、东西路口的绿灯同时亮 30 秒。 (4)、南北路口的红灯继续亮,同时东西路口的黄灯闪烁 3 次。 (5)、转 1 重复。 设计提示: (1)、通过对 8254 选择合适的信号源及计数初值,使计数器每隔 1S 产生一个脉冲。 (2)
  3. 所属分类:ISAPI/IE编程

  1. 123

    0下载:
  2. 交通灯控制系统(8253定时)假设为某个十字路口设计一个交通灯控制系统。在十字路口的东西和南北两个方向都各有红、黄、绿三个信号灯。红、黄、绿交通灯的变化规律为: 1)南北方向的绿灯、东西方向的红灯同时亮20秒。 2)南北方向的绿灯灭、黄灯亮5秒,同时东西方向的红灯继续亮。 3)南北方向的黄灯灭、红灯亮,同时东西方向的红灯灭、绿灯亮,持续20秒。 4)南北方向的红灯继续亮,同时东西方向的绿灯灭、黄灯亮5秒。 5)转1)重复。 -Traffic light con
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:5201
    • 提供者:李丽
  1. Design_of_Traffic_Light_Controller_Based_on_VHDL.r

    0下载:
  2. :传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。-Traffic light controller is usually developed bymicro p rocesso
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:434672
    • 提供者:li
  1. Design_of_Traffic_Light_Control_System_Base_on_FPG

    1下载:
  2. 用VHDL 语言设计交通灯控制系统, 并在MAX+PLUS II 系统对FPGA/ CPLD 芯片进行下载, 由于生成的是集成化的数字电 路, 没有传统设计中的接线问题, 所以故障率低、可靠性高, 而且体积小。体现了EDA 技术在数字电路设计中的优越性。-The design method of traffic light control system by using Very- High- Speed Integrated Circuit Hardware Descr iption La
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:73396
    • 提供者:li
  1. glim

    0下载:
  2. 设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。时间可设置修改。-Designed a crossroads of traffic lights control circuit, require lane east-west direction and north-south direction cross the two lane road on the turn of the vehicle is running, ea
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-07
    • 文件大小:1048811
    • 提供者:璐璐
  1. Traffic-lights

    0下载:
  2. 之前做的交通灯课程设计,报告摘要、方案设计、电路设计、工作过程、仿真情况、使用元器件介绍、总结及参考文献完整。并附交通信号灯控制器电路图、交通信号灯控制器电路元件明细表-The traffic lights before the curriculum design, the report summary, program design, circuit design, process simulation, the use of components, the integrity of the
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:917514
    • 提供者:hanqi
  1. FPGA交通灯

    1下载:
  2. 设计一个简单自动控制的交通灯控制系统。具体要求,在道路十字路口的两个方向各设一组红绿指示灯,显示顺序为,其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、绿灯、黄灯;设置一组数码管,以倒计时的方式显示允许通过或禁止通过的时间,其中绿灯、黄灯、红灯的持续时间分别为80s/6s/40s。(Design a simple and automatic traffic light control system. The specific requirements in the two direction
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-17
    • 文件大小:1577984
    • 提供者:anbao
  1. 交通灯protues仿真程序

    0下载:
  2. 交通灯的设计开发,实现道路交通的智能控制,可以根据道路实际情况改变通行时间(The design and development of traffic lights can realize the intelligent control of road traffic, and change the passage time according to the actual situation of the road)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-26
    • 文件大小:501760
    • 提供者:童蒙
  1. 交通灯控制

    1下载:
  2. 基于8086的交通灯设计;proteus仿真文件;汇编语言源程序(A design of the traffic light based on 8086cpu)
  3. 所属分类:汇编语言

  1. 交通灯

    0下载:
  2. 一个交通灯的设计,具有自动控制与手动控制的功能。(The design of a traffic light has the function of automatic control and manual control.)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-21
    • 文件大小:84992
    • 提供者:林谱捞
  1. 基于西门子PLC控制交通灯毕业设计

    0下载:
  2. 基于西门子PLC控制交通灯毕业设计,毕业设计(Graduation Design of Traffic Light Control Based on Siemens PLC)
  3. 所属分类:文章/文档

    • 发布日期:2020-06-28
    • 文件大小:446464
    • 提供者:caiyun
  1. 交通灯控制

    2下载:
  2. 包含proteus仿真文件及c语言程序,在开发板上进行过运行。利用双色LED显示电路,和四位静态数码管显示电路模拟十字路口交通信号灯。4位LED数码管显示时间,双色LED灯显示红绿灯状态。熟悉交通信号灯控制逻辑。设计一个十字路口的交通灯控制电路,要求南北方向和东西方向两个交叉路口的车辆交替运行。每次绿灯变红灯时,要求黄灯先亮3S,才能变换运行车辆。东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用数码管显示器进行显示(采用倒计时的方法)。考虑到特殊车辆情况,设置紧急转换开头。(
  3. 所属分类:单片机开发

    • 发布日期:2020-08-27
    • 文件大小:485376
    • 提供者:nliang
« 12 3 4 5 6 7 8 9 10 ... 17 »
搜珍网 www.dssz.com