CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通等

搜索资源列表

  1. 交通信息在线网站整站代码

    0下载:
  2. 1.本着方面大家的原则,交通信息在线(jtxxol.com)开发了本系统。本系统具有绿色无广告(当然,你可以自己放置广告)、方便快捷、简单快速、界面清爽等特点。   2.本系统可以查询城市到城市的客运班车信息。始发站是地极市,终点站可以是市、县、区,请勿带市、县、区等字样。   3.本系统的数据全部来源于车站和网络。 -1. Much of the principle of traffic information online (jtxxol.com) development
  3. 所属分类:PHP源码

    • 发布日期:2013-03-16
    • 文件大小:34946
    • 提供者:pretty123
  1. protusjiaotong

    0下载:
  2. 单片机在交通中的使用,可以看到其实神秘的交通等也可以被小小的单片机来成功的控制了!-MCU in the use of traffic, In fact, we can see a mysterious traffic can also be little to the success of the MCU control!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:22810
    • 提供者:丑人
  1. binocular_stereo_measuring

    0下载:
  2. 通过两台相机拍摄的立体像对完成对目标的快速实时测量,用于构建道路交通等数据快速采集系统。
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2014-01-16
    • 文件大小:714134
    • 提供者:张 方
  1. JTD

    0下载:
  2. 在maxplusII平台上开发的一个交通等内核,该文件中有多个版本,为实现交通灯的不同功能,同时后续版本也是对前面版本的修改与优化,基于verilog HDL语言
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-16
    • 文件大小:1189926
    • 提供者:孙炜
  1. cled

    0下载:
  2. 闪烁信号灯,类似交通等,可以按照不同的设置进行闪烁显示,并解决内存溢出的问题
  3. 所属分类:按钮控件

    • 发布日期:2014-01-18
    • 文件大小:3614
    • 提供者:zaokun
  1. 交通灯设计

    0下载:
  2. 做的毕业设计,包括SCH,毕业报告,调试程序。元件清单等。
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 交通灯仿真加图

    2下载:
  2. 交通灯,我们课程设计做的
  3. 所属分类:源码下载

    • 发布日期:2010-10-26
    • 文件大小:61229
    • 提供者:zhao1989626
  1. FPGA-verilog-交通灯

    3下载:
  2. 采用verilog编写的代码,用FPGA实现交通灯控制,包含有数码管显示控制,倒计时控制,状态机等,是练习Verilog代码编写的一个很好的实例!
  3. 所属分类:VHDL编程

  1. 智能交通中道路线的检测

    0下载:
  2. 智能交通中车载摄像机的道路线检测,利用hough变换等算法,里面有程序有图片,可直接运行,很直观。
  3. 所属分类:图形图象

  1. 基于快速匹配算法的交通监控系统

    0下载:
  2. 随着城市车辆的增多,交通变得越来越拥挤,所以实现实时的城市交通智能监控对于交通信息收集、规范化交通管 理及城市规划等方面具有重要的意义。针对这个问题,文中以车辆闯红灯为例,提出了一种基于计算机视频检测技术的车 辆运动监控方法。它采用了基于运动矢量的三步搜索算法,在系统实际运行中能根据目标运动方向自动排除许多人为和 自然因素的干扰,确保了图像匹配的快速性和准确性,为对闯红灯等违章行驶车辆进行有效的视频跟踪抓拍和避免误拍提 供了可靠保障
  3. 所属分类:文档资料

    • 发布日期:2011-02-28
    • 文件大小:29873
    • 提供者:its2000@126.com
  1. 微机接口实习报告:交通灯

    1下载:
  2. 模拟交通灯的控制,分为东西和南北方向,分别由红、绿、黄等显示。数秒时间应从数码管显示,并包括紧急情况处理。硬件实现设计(画图并连线),软件编程调试,要求有演示效果。 课题提倡自己增加电路功能。
  3. 所属分类:其它资源

    • 发布日期:2011-05-23
    • 文件大小:500736
    • 提供者:Ava0424
  1. PowerElectrical

    0下载:
  2. 西安交通大学的电力电子课件,写的很好! 从器件介绍到后面逆变器、整流器等的介绍都不错!-Xi' an Jiaotong University power electronic courseware, written well! From the introduction to the back of the device inverter, rectifier, etc. are all good descr iption!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-06-14
    • 文件大小:22017870
    • 提供者:左文平
  1. 2

    0下载:
  2. 智能交通等设计 -Intelligent Transportation design
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1811
    • 提供者:vyyt
  1. proteus

    1下载:
  2. 在protus上实现at89c51的交通灯控制,其很好的实现了现在交通等的具体功能,具有一定的实践意义。-To achieve at89c51 in protus traffic light control, its good to achieve a specific function is transportation, with some practice.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:186894
    • 提供者:云龙
  1. 1

    0下载:
  2. 单片机交通灯原程序代码,主要用于课程设计交通等程序-C51jiaotongdeng
  3. 所属分类:SCM

    • 发布日期:2017-05-10
    • 文件大小:2334079
    • 提供者:李渊
  1. 51jiaotongdeng

    0下载:
  2. 51单片机的交通等汇编程序 对你有一定的帮助-this is good !
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:540
    • 提供者:chenxingbing
  1. 城市实时交通出行数据_样例数据

    2下载:
  2. 城市实时交通数据,包括全国北京、上海、广州、苏州等多个城市(city transportation data)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-14
    • 文件大小:3222528
    • 提供者:妮妮没有
  1. 交通信号灯

    0下载:
  2. 实现交通信号灯,红绿黄等的切换,实现暂停,返回等需求功能!(It can achieve the traffic signal.)
  3. 所属分类:网络编程

  1. VHDL交通灯

    0下载:
  2. 利用VHDL写的交通等程序,代码在文档中,可以实现十字交通灯的各种状态模拟(Using the traffic program written by VHDL, the code can be used to simulate the various states of the cross traffic lights in the document.)
  3. 所属分类:文章/文档

    • 发布日期:2018-04-19
    • 文件大小:106496
    • 提供者:xderrr
  1. 51单片机交通等灯的控制

    0下载:
  2. 51单片机交通等灯的控制:51单片机控制十字路口交通灯
  3. 所属分类:单片机(51,AVR,MSP430等)

« 12 3 4 5 6 7 8 9 10 ... 26 »
搜珍网 www.dssz.com