CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 仿真 毕业

搜索资源列表

  1. 仿真COMENT机

    0下载:
  2. 这个也是我们的毕业设计课题,是一个CUMENT机仿真的程序,并且有IDE,实现了在CUMENT上汇编语言的仿真。是嵌入式编程的新的发展 关键字(嵌入式系统 仿真COMENT机 集成开发环境)代码写的很整洁并配有比较详细的注释。和相关的开发文档。有问题和我联系,ataojohn@yahoo.com.cn--A CUMENT machine simulation program. It has IDE and simulates assembly language on CUMENT. Docume
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:447631
    • 提供者:赵宏涛
  1. g自制51仿真器

    1下载:
  2. 这是本人在毕业课程设计制造的51单片机的仿真器,其中有电路图和上下位机的程序.PCB板走线图-this is my graduate courses in the design and manufacture of 51 MCU simulator, which is next circuit and crew procedures. PCB alignment map
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:822641
    • 提供者:柯杨
  1. i2c汉字库仿真

    0下载:
  2. 单片机汉字和ASCII字符程序,程序中的汉字和英文全部存在字库中。单片机用的是常用的8051,仿真软件用的是proteus。由于proteus中的flash最大是64k,没有29c040等,而汉字和ASCII加起来有二百多k,所以用了5片27c512,如此一来程序就复杂了。用hc38译码器做片选等功能,hc00做逻辑电路,锁存器是hc373,液晶屏是12864的,ks0108驱动芯片,都是比较常用的。技术上用的是总线方法,包换液晶屏,没有用间接驱动,因为那样浪费IO口,液晶屏因为有左右屏之分,所
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-02-23
    • 文件大小:479756
    • 提供者:yjiyv_1
  1. 单片机类毕业设计参考论文

    7下载:
  2. 这个有很多论文,绝对是好东西,不说好不收费,每个设计包含论文、原代码,个别的有PCB,请下载者仅做参考 16×16点阵(滚动显示)论文+程序.rar  cdma通信系统中的接入信道部分进行仿真与分析.rar  LED显示屏动态显示和远程监控的实现.rar  USB接口设计.rar  毕业设计(论文)OFDM通信系统基带数据.rar  仓库温湿度的监测系统.rar  单片机串行通信发射机.rar  
  3. 所属分类:文档资料

    • 发布日期:2009-01-13
    • 文件大小:8684363
    • 提供者:wjx168
  1. 交流异步电机矢量研究毕业设计论文

    0下载:
  2. 有关于数学建模部分,Matlab仿真部分,有仿真结果,参考文献
  3. 所属分类:软件工程

    • 发布日期:2011-06-03
    • 文件大小:474938
    • 提供者:www.com
  1. OFDM系统中ACE算法的仿真

    2下载:
  2. 本程序包含了用于OFDM中降低PAPR的ACE算法的仿真,所使用的ACE算法包括常规ACE、改进ACE、ACE-SGP以及帧交织ACE。是我毕业设计的所做的内容。
  3. 所属分类:数值算法/人工智能

    • 发布日期:2011-06-05
    • 文件大小:6087
    • 提供者:imysl@126.com
  1. 运动仿真研究毕业设计(报告加源程序)

    0下载:
  2. 优秀毕业设计,能控制人体骨骼的运动
  3. 所属分类:源码下载

    • 发布日期:2011-06-11
    • 文件大小:1397743
    • 提供者:evening0305
  1. 毕业设计基于matlab的QPSK系统仿真

    4下载:
  2. QPSK是英文Quadrature Phase Shift Keying的缩略语简称,意为正交相移键控,是一种数字调制方式。在19世纪80年代初期,人们选用恒定包络数字调制。这类数字调制技术的优点是已调信号具有相对窄的功率谱和对放大设备没有线性要求,不足之处是其频谱利用率低于线性调制技术。19世纪80年代中期以后,四相绝对移相键控(QPSK)技术以其抗干扰性能强、误码性能好、频谱利用率高等优点,广泛应用于数字微波通信系统、数字卫星通信系统、宽带接入、移动通信及有线电视系统之中......理解QP
  3. 所属分类:源码下载

  1. lunwen.rar

    1下载:
  2. matlab进行模糊pid仿真的源代码,效果一般,但已在本人毕业论文中实用,pid fuzzy matlab simulation of source code, the effect of the general, but in my dissertation in the practical
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-29
    • 文件大小:2054
    • 提供者:王晓鹏
  1. VHDLjiaotongdeng

    0下载:
  2. 有关毕业设计交通灯的VHDL设计,包括源码程序和仿真图形相关报告。-Traffic lights on the graduation project of VHDL design, including source code and simulation procedures related to the report graphics.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1565938
    • 提供者:乐乐
  1. 47651515CDMA

    0下载:
  2. 选取CDMA通信系统中的接入信道部分进行仿真与分析。首先,通过学习相应的理论知识,熟悉接入信道实现的过程,对每一步的原理有了较深的理解,同时,也对MATALB软件进行熟悉和了解,对MATLAB软件中的SIMULINK部分及其内部的CDMA模块用法和参数设置进行熟悉,然后运用MATLAB软件对接入信道部分进行设计,并逐步地对各个模块进行分析、仿真与验证。目的是通过毕业设计工作熟悉现代无线通信系统的基本构成与基本工作原理-CDMA -communication system to select th
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:354746
    • 提供者:chenxiyan
  1. 2

    1下载:
  2. 基于Matlab的伪码调相探测器系统仿真,毕业设计完整稿,适合做毕设的同学参考-Matlab code based on the pseudo-phase modulation detector system simulation, design of a complete draft graduation for students who do complete reference set
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:841744
    • 提供者:王男
  1. bi_se

    0下载:
  2. 毕业设计题目,滤波算法设计与仿真实现,有论文-Title graduation project, the Filter Simulation
  3. 所属分类:Education soft system

    • 发布日期:2017-04-04
    • 文件大小:392648
    • 提供者:何勤龙
  1. gbdvb_bin_2.0b_win32-i386

    0下载:
  2. ofdm 仿真程序,对大家的毕业设计会有帮助的。
  3. 所属分类:Java Develop

    • 发布日期:2017-03-29
    • 文件大小:194768
    • 提供者:fengrujie
  1. 20020820202

    2下载:
  2. 基于宽带无线通信系统的仿真链路设计,是cdma的多用户检测技术,完整的毕业设计-Broadband wireless communication system based on the simulation link design is multi-user detection technology cdma
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-08
    • 文件大小:1867303
    • 提供者:刘岸峰
  1. 串口通信与数控仿真

    1下载:
  2. WINDOWS API编写的串口通信软件与数控车床仿真,并有数据库应用。这是我的毕业设计,软件已实验应用过,绝对值得下载参考,有什么不足之处请自行更改。
  3. 所属分类:Windows编程

  1. 33个毕业设计单片机类

    0下载:
  2. 3此文档是基于stc单片机的控制33个实用性程序程序,并带有protues仿真图(33 single chip microcomputer simulation examples)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-24
    • 文件大小:8682496
    • 提供者:么么哒33
  1. proteus中51单片机控制交通灯的仿真及论文

    1下载:
  2. proteus中51单片机控制交通灯的仿真及论文,包括proteus仿真和keil代码,以及全部报告(Proteus 51 singlechip traffic lights control simulation and papers, including proteus simulation and keil code, and all reports)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-23
    • 文件大小:218112
    • 提供者:JY2
  1. matlab毕业设计仿真源代码,含有论文

    0下载:
  2. matlab毕业设计仿真源代码,含有论文,完整版(Matlab graduate design simulation source code, containing papers, complete version)
  3. 所属分类:数值算法/人工智能

    • 发布日期:2017-12-31
    • 文件大小:14140416
    • 提供者:henanda
  1. 毕业设计基于matlab的QPSK系统仿真

    1下载:
  2. 基于matlab的QPSK在不同信道模型下的仿真,非常全面(The simulation of QPSK based on MATLAB in different channel models is very comprehensive)
  3. 所属分类:数值算法/人工智能

    • 发布日期:2018-01-06
    • 文件大小:545792
    • 提供者:cortana
« 12 3 4 5 6 7 8 9 10 ... 31 »
搜珍网 www.dssz.com