CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 函数 发生器

搜索资源列表

  1. 函数发生器

    0下载:
  2. c8051f020产生的函数发生器-----产生sin函数通过查表的方法-C8051f020 the function generator ----- produce sin function through the look-up table
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:6233
    • 提供者:李亮
  1. 压控函数发生器 实验报告

    0下载:
  2. 电子信息工程专业 实验报告
  3. 所属分类:技术管理

    • 发布日期:2009-05-06
    • 文件大小:95744
    • 提供者:acx107
  1. 多功能高精度信号发生器的设计

    1下载:
  2. 摘要:直接数字频率合成(DDS)是七十年代初提出的一种新的频率合技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速地发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提出了一种全新的设计模式。本设计结合这两项技术,并利用单片机控制灵活的特点,开发了一种新的函数波形发生器。在实现过程中,本设计选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用了AT89C51单片机作为控制芯片。本
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2009-05-28
    • 文件大小:2195647
    • 提供者:nacker@126.com
  1. function_generator

    0下载:
  2. 采用VHDL语言写了一个函数发生器的程序。内含有各个模块,供大家参考,请多批评!-VHDL language used to write a function generator procedures. Contains various modules, for your reference, please criticize!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:12144
    • 提供者:dqtyp
  1. hanshufasheng

    0下载:
  2. 用MSP430芯片开发的一个函数发生器的源代码-MSP430 chip developed by a function generator, source code
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:12828
    • 提供者:zhaox
  1. ICL8038

    0下载:
  2. 此为icl8038的函数发生器芯片使用说明,该芯片可以完成三角波、正弦波、方波的变换,经过使用,效果可以满足一般的要求。-This is the ICL8038 function generator chip for use, the chip can complete the triangular wave, sine wave, square wave of transformation, through the use of results to meet the general requ
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:60779
    • 提供者:鲁乐
  1. 11

    0下载:
  2. 一种基于单片机的函数发生器的设计实现(MAX038)-MCU-based Design and Implementation of Function Generator (MAX038)
  3. 所属分类:OpenGL program

    • 发布日期:2017-04-05
    • 文件大小:35280
    • 提供者:wenliqiang
  1. UsingtheDACasaFunctioGenerator

    0下载:
  2. 用C8051F单片机的DAC作函数发生器-Using the DAC as a Function Generator
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6248
    • 提供者:tl
  1. dds1

    0下载:
  2. 数字合成函数发生器 初学者最好的教程DDS-dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:606
    • 提供者:彭旺如
  1. Signal-generator2

    0下载:
  2. 一种基于单片机的函数发生器的设计实现,PDF文档-MCU-based Design and Implementation of Function Generator
  3. 所属分类:Document

    • 发布日期:2017-04-24
    • 文件大小:88023
    • 提供者:lww1986105
  1. Signal-generator7

    0下载:
  2. 基于DDS的多功能函数发生器的设计,很好的一个文档-DDS-based multi-purpose function generator design
  3. 所属分类:Document

    • 发布日期:2017-04-26
    • 文件大小:199007
    • 提供者:lww1986105
  1. qdds

    0下载:
  2. 多功能函数发生器,可以产生方波,锯齿波,正弦波,三角波-Multi-function generator can produce square wave, sawtooth, sine wave, triangle wave
  3. 所属分类:Other systems

    • 发布日期:2017-05-02
    • 文件大小:992913
    • 提供者:
  1. computer-basedvirtualfunctiongenerator

    2下载:
  2. 采用LabVIEW软件构建了一个基于计算机的虚拟函数发生器。文中首先介绍了信号发生器的发展状况,并在此基础上研究通用函数发生器的分类和主要性能指标。之后通过研究对数据采集卡的使用,完成虚拟函数发生器的硬件设计。再参照通用函数发生器的功能模块,采用LabVIEW软件进行虚拟函数发生器的软件设计。最后进行了仿真实验,实现了波形选择、参数设置、扫频、连续或突发输出等功能,并实现了虚拟函数发生器的模拟输出- used LabVIEW to build a computer-based virtual f
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:122309
    • 提供者:曹超
  1. MAX038

    0下载:
  2. 采用MAX038的函数发生器,所需元器件简单,编程易懂。-Using the MAX038 function generator, components required for a simple, easy-to-understand programming.
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:370284
    • 提供者:跟小草
  1. 2

    0下载:
  2. 实现信号函数发生器,可以用示波器显示其波形,如果是数字示波器,我们还可以从中读出其周期-To achieve the signal function generator, you can use the oscilloscope display its waveform, if it is digital oscilloscope, we can also learn to read out his cycle of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1445
    • 提供者:hhf
  1. chx

    0下载:
  2. 以“低成本和高性能”为设计思想,借助虚拟仪器的概念和高速的数字信号处理算法将传统的函数发生器、示波器、数据记录仪、频率计、谱分析仪以及滤波器的设计和仿真等功能高度集成、统一平台方便使用。 -With " low cost and high performance" for design ideas, using the concept of virtual instruments and high-speed digital signal processing algorit
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-15
    • 文件大小:3626304
    • 提供者:鸿
  1. FunctionGenerator

    0下载:
  2. 设计内容:以STAR ES598PCI单板机,开发能够输出多种波形且频率可变的函数发生器。设计要求: (1)能够输出锯齿波,三角波,正弦波,脉冲波. (2)能根据键盘命令进行波形之间的切换. (3)能对输出的波形频率进行调节。 -Design content: STAR ES598PCI single board, developers can output a variety of waveforms and variable frequency function genera
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:53289
    • 提供者:mike
  1. edasigalgenerator

    0下载:
  2. 基于EDA技术的函数发生器的设计,用VHDL语言编程-the design of signal generating device with VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:555200
    • 提供者:小花
  1. 函数发生器设计

    0下载:
  2. 大学生必备课程设计:通过搭建模电电路实现函数发生器的功能(Necessary course design for college students: the function of function generator is realized by building modular electric circuit)
  3. 所属分类:其他

    • 发布日期:2018-01-01
    • 文件大小:25600
    • 提供者:xt123
  1. 低频函数信号发生器

    2下载:
  2. (1)设计并制作一个具有高频率稳定度和高相位稳定度的低频函数发生器,频率可调,为1HZ-1KHz; (2)波形种类:三角波、正弦波、方波、锯齿波((1) a low frequency function generator with high frequency stability and high phase stability is designed and produced, and the frequency is adjustable for 1HZ-1KHz. (2) the t
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:47104
    • 提供者:独孤翊凝
« 12 3 4 5 6 7 8 9 10 ... 23 »
搜珍网 www.dssz.com