CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 帧同步 verilog

搜索资源列表

  1. xsi

    0下载:
  2. verilog 实现帧同步,比较简短的一个程序-verilog achieve frame synchronization, a relatively short procedure
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:419835
    • 提供者:李鹏
  1. syn_frame

    1下载:
  2. 帧同步Verilog HDL源程序 实现接收机的同步功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:77248
    • 提供者:长空
  1. 帧同步verilog代码

    0下载:
  2. 所属分类:Modem编程

  1. 长帧同步时钟的verilog设计

    0下载:
  2. 长帧同步时钟的verilog设计,供初学者使用和参考。-Long frame synchronization clock verilog design for beginners to use and reference.
  3. 所属分类:外挂编程

    • 发布日期:2017-03-29
    • 文件大小:195413
    • 提供者:靖文祥
  1. syn_frame

    3下载:
  2. 基于verilog的帧同步搜索,fpga中可以实现帧头搜索,进而实现同步,并有一定的容错能力-verilog-based frame synchronization searching
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:838
    • 提供者:dereklee
  1. syndetect

    0下载:
  2. 帧同步检测,verilog代码 是同步保护的经典范例-frame detection, verilog code
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2014-01-05
    • 文件大小:1134
    • 提供者:leng
  1. costas_loop

    2下载:
  2. 集中式插入式帧同步发的verilog源代码-concentrative inserted frame sync
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-26
    • 文件大小:5081
    • 提供者:白健
  1. 基于FPGA的巴克码发生器与识别器的设计

    3下载:
  2. 详细介绍了7位巴克码以及帧同步,7位巴克码与帧同步的关系。-Details of the seven Barker code and frame synchronization, 7 Barker code and frame synchronization relationship.
  3. 所属分类:软件工程

    • 发布日期:2013-03-20
    • 文件大小:574039
    • 提供者:杜伟
  1. Frame_Detection

    2下载:
  2. ofdm系统中的完整帧同步模块,基于verilog实现。-ofdm system full frame synchronization module, based on verilog implementation.
  3. 所属分类:Communication-Mobile

    • 发布日期:2016-08-16
    • 文件大小:571392
    • 提供者:罗云
  1. PCM30_Frame_Sync

    0下载:
  2. 本程序实现了PCM30的帧同步和失步检测,采用verilog编程,包含了工程文件。-This procedure achieved PCM30 frame synchronization and detection step, using verilog programming, includes the project file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:45115
    • 提供者:chenjian
  1. sdr

    1下载:
  2. 全数字OQPSK解调算法的研究及FPGA实现 论文介绍了OQPSK全数字接收解调原理和基于 软件无线电设计思想的全数字接收机的基本结构,详细阐述了当今OQPSK数字 解调中载波频率同步、载波相位同步、时钟同步和数据帧同步的一些常用算法, 并选择了相应算法构建了三种系统级的实现方案。通过MATLAB对解调方案的 仿真和性能分析,确定了FPGA中的系统实现方案。在此基础上,本文采用Verilog HDL硬件描述语言在Altera公司的QuartusⅡ开发平台上设计
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:1618639
    • 提供者:陈建文
  1. Long-frame-synchronous-clock

    1下载:
  2. 这是长帧同步时钟产生的Verilog源程序,已经编译通过,可以直接使用-This is a long frame sync clock generated Verilog source code, has been compiled by, can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-15
    • 文件大小:185344
    • 提供者:莫然
  1. Frame-synchronizer-

    2下载:
  2. 原创,帧同步器的Verilog代码,在FPGA上验证实现过,无误。作为通信系统帧传输的仿真,有限状态机同步态和失步态的切换仿真。-Original Verilog code for frame synchronization, verify the implementation on the FPGA, and correct. Frame transmission as the communication system simulation, finite state machine sync
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-09
    • 文件大小:3072
    • 提供者:ZLS
  1. frame-synchronous-search-circuit

    1下载:
  2. 用verilog语言编写的帧同步搜索电路,输入数据data为8 bit并行数据流,基本结构为数据帧,帧长为10字节,帧同步字为H“FF”。clk为输入同步时钟。-Verilog language for frame synchronous search circuit, the input data is data for the 8-bit parallel data stream, the basic structure of the data frame, the frame lengt
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-09
    • 文件大小:420881
    • 提供者:眭明
  1. UART_RS232(verilog)

    1下载:
  2. /本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作
  3. 所属分类:assembly language

    • 发布日期:2017-11-07
    • 文件大小:600285
    • 提供者:饕餮小宇
  1. PCM30-Verilog-source-code

    0下载:
  2. 使用Verilog设计PCM30基群帧同步电路 电路功能说明: 1.输入码流DATA,速率为2.04Mb/S;每帧256bit,其中前8bit为帧同步码;偶数帧的帧同步码为10011011,奇数帧的帧同步码为110XXXXX(X为任意值)。 2.系统初始状态为失步态,失步信号FLOSS输出低电平,电路在输入码流里逐比特搜寻同步码,当搜寻到第一个偶帧同步码后,电路转为逐帧搜寻,当连续三帧均正确地搜寻到同步码后,系统状态转为同步态,失步信号输出高电平;否则电路重新进入逐比特搜寻状态。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1086
    • 提供者:Simon
  1. frame

    0下载:
  2. verilog编写的帧同步检测代码及仿真程序。帧信息序列用伪随机码表示,同步码为100110-frame synchronization detection code written in verilog and simulation procedures with frame information using a pseudo-random code sequence, and synchronization code 10011011
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3841
    • 提供者:薛思洋
  1. Local_barker

    0下载:
  2. 巴克码发生器Verilog程序,用于数据传输的帧同步-Verilog program Barker code generator, a frame synchronization for data transmission
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:69511
    • 提供者:Hunter
  1. 18.基于2.4GHz的数字基带系统设计与实现

    1下载:
  2. 首先设计了 2.4GHz 数字基带系统的架构,该架构包括模拟前端、数字 基带、寄存器、协议处理和 I/O 等模块,其中,数字基带模块由发送子系统和接收 子系统构成。基于该架构,使用 Verilog HDL (hardware descr iption language,硬件 描述语言)设计了数字基带发送子系统,该发送子系统由 PPDU、symbol-to-chip、 chip-to-precode、 CRC 和白化五个模块组成,采用 symbol-to-chip 和 chip-to-pr
  3. 所属分类:文档资料

  1. 帧同步

    0下载:
  2. 这是一个可以实现帧同步的编码,应用verilog编码(This is a coding that can implement frame synchronization, using Verilog coding)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:23552
    • 提供者:羽霜梦琳
« 12 »
搜珍网 www.dssz.com