CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 积分梳状滤波器

搜索资源列表

  1. CIC

    1下载:
  2. 介绍了积分梳状滤波器(CIC)设计,压缩包里面有程序的流程图,采用verilogHDL编写,在modelsim上可以实现仿真结果,非常不错
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:154248
    • 提供者:yaoyongshi
  1. AnewmodifiedsharpenedCICfilter

    0下载:
  2. 提出了一种改进的积分梳状(CIC) 滤波器. 在改变传统积分梳状滤波器的延迟因子的 同时,将锐化(Sharpen) 技术和相位分解技术应用于此滤波器. 改进后的滤波器与传统滤波器 相比,减少了信号失真,降低了系统功率消耗. 仿真结果表明,改进后的滤波器在通带范围内的 波动很少,而且,具有较高的阻带衰减.
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:278144
    • 提供者:roybevan
  1. cic3_decimator

    0下载:
  2. 积分梳状滤波器(CIC)设计,已经通过验证。
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:1125
    • 提供者:123
  1. CIC.rar

    0下载:
  2. cpld/fpga积分梳状滤波器(CIC)设计,cpld/fpga Integral comb filter (CIC) design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1311
    • 提供者:陈臣
  1. CIC

    0下载:
  2. CIC 积分梳状滤波器设计,一个很好用的参考资料-CIC design
  3. 所属分类:matlab

    • 发布日期:2017-05-14
    • 文件大小:3667908
    • 提供者:xingxingsuo
  1. CIC

    0下载:
  2. 积分梳妆滤波,介绍了积分梳状滤波器(CIC)设计,压缩包里面有程序的流程图,采用verilogHDL编写-jifenshuzhuanglubo
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:154252
    • 提供者:zhangyunfei
  1. c19_CICfilter

    0下载:
  2. 精通verilog HDL语言编程源码之5--CIC积分梳状滤波器设计-Proficient in verilog HDL source language programming of 5- CIC Integrator Comb Filter Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1184
    • 提供者:李平
  1. cic3_decimator

    0下载:
  2. 积分梳状滤波器,CIC设计,三级CIC抽取器实例:cic3_decimator.V module cic3_decimator(clk, x_in, y_out)-cic
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:889
    • 提供者:bighen
  1. cic3_decimator

    0下载:
  2. 用Verilog语言实现积分梳状滤波器(CIC)设计-Achieve integration with Verilog language comb filter (CIC) design
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-10
    • 文件大小:860
    • 提供者:钟跃民
  1. Integral_comb_filter_verilog_design

    0下载:
  2. 积分梳状滤波器(CIC)verilog设计.rar-Integral comb filter verilog design.rar
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:1047
    • 提供者:海天之洲
  1. jifenlvboqi

    0下载:
  2. 为了解决软件无线电通信系统中频采样之后的极大数据量在基带处理部分对DSP计算的压力,常采用多速率处理技术.多速率处理过程中需要使用积分梳状滤波器、半带滤波器和高阶FIR滤波器.在分析了积分梳状滤波器的结构和特性的基础上,阐述了多级CIC滤波器一种高效的FPGA实现方法,该方法的正确性和可行性通过Quartus Ⅱ的时序仿真分析得以验证,实际中可以推广应用.-In order to solve software-defined radio communications system after I
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:179939
    • 提供者:王楚宏
  1. 49636992ciccomp

    1下载:
  2. 级联积分-梳状(Cascaded Integrator–Comb,CIC)滤波器,是种高 效滤波器。它是一种线形相位FIR滤波器,由工作在高抽样率的级联理 想积分器和低抽样率的级联微分器组成,根据抗混叠和抗镜像的指标 确定所需的级联数目。 -Cascaded integrator- comb (Cascaded Integrator-Comb, CIC) filter, is the kind of high efficiency filter. It is a linear p
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2302
    • 提供者:刘哲
  1. CIC

    0下载:
  2. 五阶CIC滤波器,用于降低数据传输速率。数字下变频技术不仅是软件无线电核心技术之一,还是中频数字化接收系统重要组成部分。数字下变频技术中广泛用到级联积分梳状滤波器(CIC滤波器)-CIC filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1430942
    • 提供者:姚琼琼
  1. digitaldownconversionbygpga

    0下载:
  2. 研究了高倍抽取的数字下变频设计,重点分析了基于级联积分梳状滤波器和级联半带滤波器的多级抽样频率算法。-Extraction of the high-powered digital down-conversion design, the focus of a cascaded integrator comb filter based on cascaded half-band filter and the multi-level sampling frequency algorithm.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:219194
    • 提供者:w
  1. cic

    0下载:
  2. CIC积分梳状滤波器的程序、是生成五级CIC抽取器:cic3_decimator.V-CIC CIC filter program, is to generate five CIC decimator: cic3_decimator.V
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:911
    • 提供者:笙箫
  1. Digital-IF-Receiver-Based-on-FPGA

    0下载:
  2. 基于FPGA的数字中频接收机设计与实现。近年来雷达行业提出了软件雷达的概念,数字技术在雷达中的广泛应用已成为一种必然趋势。现代雷达系统对接收机提出了更高的要求,数字接收机技术已成为实现高精度宽带雷达接收系统的一种有效途径。研究了数字接收机的相关理论和技术,介绍了数字下变频,数控振荡器、级联积分梳状滤波器和抽取。给出了一种基于FPGA的数字中频接收机实现方案,进行了分析和仿真,给出了测试结果-Design and Implementation of Digital IF Receiver Base
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:494650
    • 提供者:车万方
  1. Integrator-comb_timing-state

    0下载:
  2. 积分梳状滤波器和时序状态机的Verilog语言描述,适合硬件描述初学者-Integrator-comb filter and timing the Verilog language to describe state machines, hardware descr iption suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1917
    • 提供者:李菲
  1. CIC-FILTER

    0下载:
  2. 有关级联积分梳状滤波器的硬件描述语言,用VHDL编写,共有五级-CIC FILTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:822
    • 提供者:周春浩
  1. cic

    3下载:
  2. CIC(Cascaded Integrator Comb) filter, 即级联积分梳状滤波器, 具有结构简单, 便于处理, 运算速度快等特点(CIC(Cascaded Integrator Comb) filter)
  3. 所属分类:matlab例程

    • 发布日期:2019-04-07
    • 文件大小:20480
    • 提供者:Meng2018
  1. CIC

    2下载:
  2. 包括地址产生单元、数据查询单元(可以重新初始化rom中的数据,由matlab产生.coe文件替换)、积分单元、抽取单元、梳状滤波单元,对于初学者很有帮助(Including address generation unit, data query unit (data can be re-initialized in rom, generated by matlab. COE file replacement), integration unit, extraction unit, comb fi
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-02-25
    • 文件大小:5120
    • 提供者:午后河流
« 12 »
搜珍网 www.dssz.com