CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 调制解调器

搜索资源列表

  1. 调制解调器编程

    0下载:
  2. 调制解调器编程,用的是AT命令,一个很好的学习例子。-modem programming, using the AT command, a good learning examples.
  3. 所属分类:Modem编程

    • 发布日期:2008-10-13
    • 文件大小:360089
    • 提供者:上面
  1. 调制解调器网络编程

    0下载:
  2. 调制解调器网络编程,对用的它的人会看看的-modem network programming, it is the right of people will see the
  3. 所属分类:Modem编程

    • 发布日期:2008-10-13
    • 文件大小:266599
    • 提供者:李强
  1. MODEM 调制解调器

    0下载:
  2. C 语言开发的 MODEM 调 制解调器
  3. 所属分类:微处理器(ARM/PowerPC等)

  1. SimulationandImplementationofDS-Modem

    0下载:
  2. 扩频调制解调器的仿真和实现全过程,详细并且可实现-Spread spectrum modem simulation and realization of the entire process in detail and can be realized
  3. 所属分类:Modem program

    • 发布日期:2017-04-09
    • 文件大小:1615010
    • 提供者:张松
  1. AMODEMBASEFPGA

    0下载:
  2. 基于FPGA的软件无线电调制解调器的设计和实现-FPGA-based software-defined radio modem design and implementation of
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:235146
    • 提供者:彭大展
  1. compressZMODEM

    0下载:
  2. MODEM调制解调器开发的C程序,利用调制解调器来实现上网的原理.可以用这个程序来联上INTERNET.-MODEM modem developed by C procedures, the use of modems to achieve the principles of the Internet. Can use this procedure in conjunction on INTERNET.
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:171673
    • 提供者:bridge
  1. A11317_B1

    0下载:
  2. 基于DSP技术模数兼容的多通道数字电话系统设计方案。设计中采用了DSP,低比特率语音压缩编解码,信道复用,FLASH MEMORY,DSP和调制解调器通信等技术。在通用调制解调器构成的点对点通信平台上实现了两路语音的复用,传输及交换。-Modulus based on DSP technology compatible multi-channel digital telephone system design. Designed to use a DSP, low bit rate voice
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:23856
    • 提供者:Alex
  1. dianlixitong

    0下载:
  2. 本文利用核心芯片TDA5051A,采用ASK(幅度键控)调制技术,建立电力线通信系统,实现数字信号在电力线上进行传输。 关键词:电力线通信 TDA5051A 调制解调器 -In this paper, the core chip TDA5051A, using ASK (amplitude shift keying) modulation technology, the establishment of power line communication systems, digital
  3. 所属分类:File Formats

    • 发布日期:2017-04-09
    • 文件大小:1223039
    • 提供者:黄增华
  1. cordic

    0下载:
  2. VHDL写的通用调制解调器的核心程序,通过调试 无错无警告-VHDL generic modem to write the core of the procedure, through no fault debugging without warning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:588
    • 提供者:zhaocheng
  1. 111

    0下载:
  2. 2fsk调制解调器的DSP实现,对于 2FSK,调制就是把输入数字序列变成适合于信道传输的变频正弦波(2FSK 信号)-2fsk modem DSP implementation, for 2FSK, modulation is to enter a number sequence into a suitable transmission channel frequency sine wave (2FSK signal)
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:268162
    • 提供者:白痴小明明
  1. shuzitiaozhijietiaoqi

    0下载:
  2. 数字调制解调器 数字调制解调器 -Digital Modem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1529487
    • 提供者:随风
  1. RS-232串口和调制解调器高级通信编程

    1下载:
  2. RS-232串口和调制解调器高级通信编程-RS-232 serial port and modem advanced communications programming
  3. 所属分类:编程文档

    • 发布日期:2017-06-14
    • 文件大小:21035629
    • 提供者:mali
  1. 基于stm32的2FSK调制解调器源程序

    4下载:
  2. STM32F103单片机实现FSK调制解调,亲测可用(STM32F103 MCU FSK modulation and demodulation, pro test available)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-23
    • 文件大小:2331648
    • 提供者:haha321
  1. CMX469A的FFSK调制解调器设计

    1下载:
  2. CMX469A的FFSK调制解调器设计.可做为远程无线数据通讯,及红外线遥控等(Cmx469a FFSK modem design. Cmx469a FFSK modem design)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:1024
    • 提供者:港湾宁静
  1. QPSK调制解调器的设计及FPGA实现

    1下载:
  2. QPSK FPGA的实现,QPSK调制解调器的设计及FPGA实现(Design and implementation of QPSK modem based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:6838272
    • 提供者:muweng
  1. 全数字BPSK调制解调器_昆仑

    0下载:
  2. BPSK,全数字BPSK调制解调器,的研究(Digital BPSK modem _ Kunlun)
  3. 所属分类:matlab例程

    • 发布日期:2018-01-02
    • 文件大小:134144
    • 提供者:muweng
  1. MSK调制解调器

    0下载:
  2. MSK调制解调器MATLAB仿真程序,毕设用的,可会知误码率、时域图、频域图(MSK modulation and demodeulation simulate code by MATLAB)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-07
    • 文件大小:3072
    • 提供者:王越超
  1. 调幅波解调器

    0下载:
  2. 基于labview的调幅波解调器的实现,包含载波,被调制信号等参数调节。(Implementation of amplitude modulation demodulator based on LabVIEW)
  3. 所属分类:LabView编程

    • 发布日期:2018-01-11
    • 文件大小:20480
    • 提供者:李月123
  1. 基于FPGA的FM调制解调器的设计

    0下载:
  2. 基于FPGA的FM调制解调器的设计与实现,论文资料,论文作者曹沅,论文资料
  3. 所属分类:报告论文

  1. fpga调制解调器

    0下载:
  2. 16qam调制解调器的设计步骤和仿真结果,适合初学者学习
  3. 所属分类:报告论文

« 12 3 4 5 6 7 8 9 10 ... 17 »
搜珍网 www.dssz.com