CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 超前进位加法器

搜索资源列表

  1. ADD_SUB

    1下载:
  2. 11,13,16位超前进位加法器的Verilog HDL源代码。-11,13,16-CLA for the Verilog HDL source code.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4121
    • 提供者:周金喜
  1. booth_mul

    2下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols / unsigned multiplication of the number of binary multipliers. The multiplier used to impr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19758
    • 提供者:李鹏
  1. cla_vhd

    1下载:
  2. 超前进位加法器的例子,包括源码和测试文件,压缩包,无密码.-CLA of examples, including source code and test documents, compressed, without a password.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1194
    • 提供者:王卫
  1. adder_ahead8bit

    1下载:
  2. 本文件提供了用verilog HDL语言实现的8位超前进位加法器,充分说明了超前进位加法器和普通加法器之间的区别.-using verilog HDL achieve the eight-ahead adder, fully demonstrates the CLA for ordinary Adder and the distinction between.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10307
    • 提供者:剑指眉梢
  1. LAC_adder16

    1下载:
  2. 十六位超前进位加法器,Verilog HDL-16-ahead adder, Verilog HDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:213848
    • 提供者:Li Yanwei
  1. CLA8

    1下载:
  2. 一个超前进位加法器的Verilog实现,内含测试文件,可以综合,非常有参考价值
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:35375
    • 提供者:张伟
  1. ahead_adder

    0下载:
  2. 用Verilog语言实现了一个8bit的超前进位加法器,其中包括测试文件。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:27187
    • 提供者:zhangyanbo
  1. CLA

    0下载:
  2. 超前进位加法器得VHDL实现小点资料代码
  3. 所属分类:并行运算

    • 发布日期:2014-01-17
    • 文件大小:824
    • 提供者:long
  1. adder

    2下载:
  2. 8位超前进位加法器 就是使各位的进位直接由加数和被加数来决定,而不需要依赖低位进位
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:7668
    • 提供者:黄昆
  1. add2

    1下载:
  2. 两个4bit超前进位加法器实现8bit加法器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:152706
    • 提供者:徐芬
  1. 32位超前进位加法器(verilog)

    1下载:
  2. 淘的32位超前进位加法器(verilog),已验证
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-02
    • 文件大小:4413
    • 提供者:lurz123@qq.com
  1. adder_32

    0下载:
  2. 超前进位加法器是通常数字设计所必备的,本程序为32位超前进位加法器-CLA is usually necessary for digital design, the procedure for 32-bit CLA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-18
    • 文件大小:1185
    • 提供者:zhaohongliang
  1. 16bitCLA

    0下载:
  2. 基于Verilog HDL的16位超前进位加法器 分为3个功能子模块-Verilog HDL-based 16-bit CLA is divided into three functional sub-modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7489
    • 提供者:韩伟
  1. adder17

    0下载:
  2. 实现17位加法,利用一个16位超前进位加法器和一个一位全加器构成的一个有进位输入和进位输出的17加法器,并且16位加法器利用的使四位超前进位加法器构成。它在booth乘法器设计中经常用到。可以使初学者对模块的调用了解更加透彻。-Adder 17 to achieve the use of a 16-bit CLA, and a one-bit full adder composed of a binary input and binary output of the adder 17, and
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:1580
    • 提供者:htpq
  1. HG_chufaqi_clajiafaqi

    1下载:
  2. VHDL基-16位的无符号除法器,超前进位加法器可改位数。-VHDL-based-16 bit unsigned divider, CLA can be the median.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2335
    • 提供者:Huanggeng
  1. adder

    0下载:
  2. 本设计是做了一个32位超前进位加法器,能够快速计算-This design is made of a 32-bit lookahead adder, to quickly calculate
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:39175
    • 提供者:zhaozimou
  1. 超前进位加法器

    0下载:
  2. 8*8超前进位加法器,Verilog初学教程(file name is adder.v adder 8*8 bit)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-31
    • 文件大小:78848
    • 提供者:hao123456
  1. 4Bit超前进位加法器门级电路设计与仿真

    2下载:
  2. 用门级网表的方法对4Bit超前进位加法器门级电路连接关系用verilog语言进行描述(The connection relation of the gate level circuit of 4Bit carry adder is described in Verilog language with the method of gate level netlist)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:150528
    • 提供者:tingyumian
  1. 16位超前进位加法器

    0下载:
  2. 16位超前进位加法器的报告,报告里面含有主代码测试代码仿真结果(16 bit forward adder)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:114688
    • 提供者:nvde
  1. 32位前缀加法器

    1下载:
  2. verilog编写的32位前缀加法器,将后缀txt改为v即可使用,速度比一般的行波进位加法器和超前进位加法器更快
  3. 所属分类:VHDL编程

« 12 3 4 »
搜珍网 www.dssz.com