CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DA DDS

搜索资源列表

  1. 13898375FPGA_FIR

    0下载:
  2. 尽管频率合成技术已经经历了大半个世纪的发展史,但直到今天,人们对 它的研究仍然在继续。现在,我们可以开发出输出频率高达IG的DDS系统, 武汉理工大学硕士学位论文 已能满足绝大多数频率源的要求,集成DDS产品的信噪比也可达到75dB以上, 已达到锁相频率合成的一般水平。电子技术的发展己进入数字时代,模拟信号 数字化的方法也是目前一个热门研究课题,高速AD、DA器件在通信、广播电 视等领域的应用越来越广泛。本次设计完成了软件仿真和硬件实现,对设计原 理和设计结果进行了一
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:152436
    • 提供者:包真
  1. DDS_C430

    0下载:
  2. 利用430单片机内部得DA实现的DDS正弦波形产生程序,可以用在对输出波形稳定性很高的场合
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8585
    • 提供者:王晴
  1. DDS_信号源

    0下载:
  2. dds 精确步进100HZ.拨码开关选择FSK,FM等功能.最高频率25M,DA芯片9760.VHDL编写
  3. 所属分类:VHDL编程

    • 发布日期:2009-08-20
    • 文件大小:5324098
    • 提供者:wuyunzheng
  1. DDS.rar

    0下载:
  2. 基于EPM7128的数字合成信号发生器(DDS)设计。通过对EPM7128编程,组合出地址累加器、数据锁存器、256*8位ROM空间。外接DA可实现正弦波输出功能,EPM7128-based signal generator for digital synthesis (DDS) design. EPM7128 through programming, the combination of address accumulator, data latches, 256* 8 ROM space.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:353854
    • 提供者:xiaoyu
  1. DDS

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -the function creat sine wave,trangle wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:14691
    • 提供者:苏诗卧龙
  1. high_speed_tap8_DDS

    0下载:
  2. 用verilog编写的高速8路并行dds模块,用于与高速da(1ghz或以上)接口产生任意频率正弦波,模块已经经过工程验证,用于产品中。-Verilog prepared with high-speed 8-way parallel dds modules for use with high-speed da (1ghz or above) interface have any frequency sine wave, the module has been proof for the prod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4947
    • 提供者:yangyu
  1. 200M_DA_AD

    0下载:
  2. 自己编的,用FPGA实现软件DDS调幅。编程语言是VHDL。拿出来相互学习一下。-Own, and with FPGA AM DDS software. Programming language is VHDL. Look out to learn from each other.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:270981
    • 提供者:lixuedeng
  1. dds1111

    0下载:
  2. dds,用fpag实现的。 通过da输出。要把握clk。-dds
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-07
    • 文件大小:817780
    • 提供者:红儿
  1. dds

    0下载:
  2. da的代码,在VHDL的编译环境下的开发。是一种集约的形式。-DA convert
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:550
    • 提供者:huruifang
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. MD_DDS_10bit_VHDL

    0下载:
  2. 十位DA输出的DDS,用VHDL实现,环境:ISE 8.1,仿真软件:ModelSim_SE_6.1b-10 DA output of the DDS, with the VHDL implementation, environment: ISE 8.1, simulation software: ModelSim_SE_6.1b
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1021193
    • 提供者:爬树跑
  1. WaveGenerator-CPLD-10-05-09-16-28

    0下载:
  2. 基于CPLD的DDS信号发生器,将I2Cflash中的波形数据读出,并将其并行输出,再通过DA转换,得到模拟波形。开发工具是quartusII7.2-The DDS signal generator based on CPLD will I2Cflash the waveform data read out, and its parallel output, and then through the DA converter, are analog waveform. Development t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:839013
    • 提供者:朱澄澄
  1. daPDDS

    0下载:
  2. c8051f020 DA转换与DDS控制-c8051f020 da+dds
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3984
    • 提供者:huangteng
  1. da

    0下载:
  2. 单片机显示程序,能够接受来自DDS的信息,在液晶显示屏上显示当前输出波形和频率-Single-chip display program that can receive information from the DDS, the LCD display shows the current output waveform and frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:18270
    • 提供者:张良哲
  1. MY-DDS

    0下载:
  2. 利用altera公司的FPGA使用verilog语言实现DDS功能 外加DA 可将数字信号转换成标准正弦信号-Altera FPGA use verilog language of DDS functions plus DA converts digital signals into a standard sine signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-13
    • 文件大小:1305600
    • 提供者:李枫
  1. da--sine

    0下载:
  2. 利用dds方法,通过DA输出正弦波,频率1KHz 频率根据代码可调-DA output sine wave frequency 1KHz (Verilog)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3603114
    • 提供者:范子剑
  1. DDS

    2下载:
  2. 本程序利用FPGA实现了DDS的功能,结合高速DA转换器DAC902可以用作波形发生器-This procedure using FPGA implementation of the DDS functions, combined with high-speed DA converter can be used as waveform generator DAC902
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:3551232
    • 提供者:lanjunjian
  1. DDS

    0下载:
  2. 基于FPGA的数字信号合成器(DDS),采用VHDL语言编写,能够实现正弦波、三角波、方波、锯齿波这四种波形的产生。 提示:最后输出的模块是串行DA,可根据具体情况更改驱动。-Digital synthesizer (DDS) based on FPGA, using VHDL language, to achieve sine wave, triangle wave, square wave, sawtooth waveform generation four. Tip: The la
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2800194
    • 提供者:康二栋
  1. DDS

    0下载:
  2. DDS的FPGA实现(VHDL),只可调频,调幅可于外部DA实现。(内附三角波、正弦波、方波的rom调用)-DDS on FPGA (VHDL), only FM, AM can be implemented in an external DA. (With triangular wave, sine wave, square wave rom call)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:546860
    • 提供者:WSong
  1. Signal

    1下载:
  2. 基于FPGA的DDS相位累加器,连接至存有波形数据的rom后再接至DA可以输出对应的波形(abcdefghijklmnopqrstuvwxyz)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 »
搜珍网 www.dssz.com