CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - IEEE

搜索资源列表

  1. IEEE1588(v2)

    1下载:
  2. 第二版的IEEE1588协议(即PTP协议)的英文 PDF.欢迎下载。-IEEE Standard for a Precision Clock Synchronization Protocol for Networked Measurement and Control Systems(IEEE 1588 V2 PDF format)
  3. 所属分类:Windows Develop

    • 发布日期:2014-06-20
    • 文件大小:2669140
    • 提供者:张帅勇
  1. 802.11-2007

    0下载:
  2. 共1200多页,用于替代IEEE 802.11-1999、IEEE 802.11a-1999、IEEE 802.11a Errata-2000、IEEE 802.11b-1999、IEEE 802.11b Corrigendum 1-2001、IEEE 802.11d-2001、IEEE 802.11e-2005、IEEE 802.11g-2003、IEEE 802.11h-2003、IEEE 802.11h Errata-2004、IEEE 802.11i-2004、IEEE 802.11j-
  3. 所属分类:Development Research

    • 发布日期:2017-05-25
    • 文件大小:8561522
    • 提供者:王青
  1. DVB_S2_Codes

    1下载:
  2. Alternative good LDPC codes for DVB-S2-In our following paper, we find that the shortten codes of DVB-S2 have some problems,this program can provide a good DVB-S2 LDPC code without cascaded BCH codes. [1] Yang Xiao, Kiseon Kim, "Alternative
  3. 所属分类:3G develop

    • 发布日期:2017-03-25
    • 文件大小:54831
    • 提供者:lydia
  1. IEEEdata

    0下载:
  2. IEEE节点系统大全,包括IEEE3节点系统到300节点系统的详细数据,对测试电力系统有非常大的作用-IEEE bus system Daquan, including IEEE3 node system to 300-node system of detailed data, the test power system has a very big role
  3. 所属分类:Applications

    • 发布日期:2017-05-10
    • 文件大小:2397124
    • 提供者:kjh
  1. DPD

    2下载:
  2. IEEE高级会员,刘太君教授.数字预失真关键技术,全面详细描述数字预失真仿真和实现的各方面内容,不可多得的资料。-IEEE senior member of Professor Liu Taijun. The key to digital pre-distortion technology, comprehensive and detailed descr iption of various aspects of digital pre-distortion content of rare in
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-21
    • 文件大小:6189683
    • 提供者:张建云
  1. IEEE802.15.4_opnet_simulation_model_v2.0

    1下载:
  2. OPNET Simulation Model:IEEE 802.15.4 -OPNET Simulation Model: IEEE 802.15.4
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:700931
    • 提供者:james
  1. mul(FLP)

    2下载:
  2. 一个32位元的浮点数乘法器,可将两IEEE 754格式的值进行相乘-A 32-bit floating-point multipliers, can be two format IEEE 754 values multiplied
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1765
    • 提供者:TTJ
  1. ptpd-original

    0下载:
  2. IEEE 1588 精密时钟同步的源代码-the code of IEEE 1588 Precision clock synchronization Protocol
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-28
    • 文件大小:112581
    • 提供者:yin
  1. ieee-systemc-1666-2005.pdf

    0下载:
  2. system C 使用手册英文版。方便喜欢直间阅读英文版者。避免中文版翻译上的偏差。 -system C Manual English. Easy to read English among those who prefer straight. Chinese translation, to avoid bias.
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1600606
    • 提供者:ping xiao
  1. iir_par_code

    0下载:
  2. IIR code. IEEE STD 1364-1995 Verilog file: iir_par.v.
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1071
    • 提供者:无名
  1. DPC

    0下载:
  2. IEEE有关脏纸编码(DPC)的最新文章。-IEEE the dirty paper coding (DPC) of the latest articles.
  3. 所属分类:matlab

    • 发布日期:2017-05-19
    • 文件大小:5238144
    • 提供者:王朝阳
  1. CRC32_DATA16

    0下载:
  2. IEEE 802.b CRC32 VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1424
    • 提供者:balance yeh
  1. MIMO

    0下载:
  2. MIMO 表示多输入多输出。读/maimo/或/mimo/,通常美国人前者,英国人读后者,国际上研究这一领域的专家较多的都读读/maimo/。通常用于 IEEE 802.11n,但也可以用于其他 802.11 技术。MIMO 有时被称作空间多样,因为它使用多空间通道传送和接收数据。只有站点(移动设备)或接入点(AP)支持 MIMO 时才能部署 MIMO。-Multiple Input Multiple Output MIMO said. Read/maimo/or/mimo /, usually
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:107556
    • 提供者:李国俊
  1. linux-wlan-0.3.4.tar

    0下载:
  2. The goal of the Linux WLAN project is to develop a complete, standards based, wireless LAN system using the GNU/Linux operating system. What differentiates this project from the Linux wireless extensions and other Linux wireless projects is that it i
  3. 所属分类:Web Server

    • 发布日期:2017-03-22
    • 文件大小:140044
    • 提供者:李飞
  1. IEEE_standard_Verilog_HDL1364_2001

    0下载:
  2. IEEE standard Verilog HDL1364-2001.pdf Verilog 学习必备资料-IEEE standard Verilog HDL1364-2001.pdfVerilog learning essential information
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-05-10
    • 文件大小:2175215
    • 提供者:洪磊
  1. motor_control

    0下载:
  2. LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_ARITH.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1000
    • 提供者:yu
  1. IEEE_Std_1394

    0下载:
  2. 关于IEEE 1394总线的资料(技术规范) IEEE Std 1394-1995.pdf IEEE Std 1394a-2000.pdf-On the IEEE 1394 bus information (technical specifications) IEEE Std 1394-1995.pdfIEEE Std 1394a-2000.pdf
  3. 所属分类:Driver Develop

    • 发布日期:2017-05-16
    • 文件大小:4422839
    • 提供者:sidney li
  1. decentralizedcontrol

    0下载:
  2. 一位在美国学习的会员提供的ieee transaction论文,关于分散控制的-A study in the United States offers to members of the ieee transaction paper, on the decentralized control of
  3. 所属分类:Special Effects

    • 发布日期:2017-04-10
    • 文件大小:1067645
    • 提供者:刘立
  1. 4

    0下载:
  2. 續。。。IEEE協會,最爲代表的IEEE_CAS2008會議上關於LDPC的最新文章-Continued. . . IEEE Society, the most representative meeting IEEE_CAS2008 the latest articles on the LDPC
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:420883
    • 提供者:Nicole OSHI
  1. PQDIF_Technical_Overview

    0下载:
  2. 电能质量PQDIF文件格式IEEE标准技术文档-Power Quality PQDIF file format IEEE standard technical documentation
  3. 所属分类:Dialog_Window

    • 发布日期:2017-03-28
    • 文件大小:84935
    • 提供者:Maxwell
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com