CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - IEEE 13

搜索资源列表

  1. libraw1394-1.2.1.tar

    0下载:
  2. libraw1394 is the only supported interface to the kernel side raw1394 of the Linux IEEE-1394 subsystem, which provides direct access to the connected 1394 buses to user space. Through libraw1394/raw1394, applications can directly send to and re
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:382992
    • 提供者:song wm
  1. MATLAB_optical_flow

    0下载:
  2. The code implements the optical flow algorithm described in Gautama, T. and Van Hulle, M.M. (2002). A Phase-based Approach to the Estimation of the Optical Flow Field Using Spatial Filtering,IEEE Trans. Neural Networks, 13(5), 1127--1136. The al
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:658294
    • 提供者:Jallon
  1. DGPSO.rar

    2下载:
  2. 用于求解约束优化问题的算法,算法为差分进化/遗传算法/微粒群算法的融合。对于“[7] T. P. Runarsson and X. Yao, Stochastic ranking for constrained evolutionary optimization, IEEE Trans. Evol. Comput., vol. 4, no. 3, pp. 284-294, Sep. 2000”中给出的13个标准测试函数,均能得到问题最优解。如有任何疑问,请于http://2shi.phphube
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2012-12-07
    • 文件大小:38038
    • 提供者:李剑
  1. optical_flow

    0下载:
  2. Phase-based Opic Flow Algorithm, described in Gautama, T. and Van Hulle, M.M. [2002]. A Phase-based Approach to the Estimation of the Optical Flow Field Using Spatial Filtering. IEEE Trans. Neural Networks, 13[5], 1127--1136.- Phase-based
  3. 所属分类:Special Effects

    • 发布日期:2017-04-13
    • 文件大小:1969
    • 提供者:Deng Fu Qin
  1. vh2sc

    2下载:
  2. 将VHDL转换为C的软件 将VHDL转换为C的软件-VH2SC is a free basic VHDL to SystemC converter. The converter handles a small subset of Synthesisable VHDL 87/93 language constructs. The current version translates all VHDL IEEE types to sc_int/sc_uint/integers and boole
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-29
    • 文件大小:819303
    • 提供者:whiz
  1. A_Phase-based_Approach_to_the_Estimation_of_the_Op

    0下载:
  2. 一种基于相位的光流计算方法,该方法不同于以往基于微分的计算方法,而是采用空间滤波器,取得非常好的效果。该结果发表于IEEE Trans. Neural Networks,13(5), 1127--1136. -Gautama, T. and Van Hulle, M.M. (2002). A Phase-based Approach to the Estimation of the Optical Flow Field Using Spatial Filtering, IEEE Tr
  3. 所属分类:Special Effects

    • 发布日期:2017-04-07
    • 文件大小:657363
    • 提供者:yishui pan
  1. phase-basedTNN2002

    0下载:
  2. 基于相位的光流估计,Trans NN 2002的文章-Gautama, T. and Van Hulle, M.M. (2002). A Phase-based Approach to the Estimation of the Optical Flow Field Using Spatial Filtering, IEEE Trans. Neural Networks, 13(5), 1127--1136
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-27
    • 文件大小:656889
    • 提供者:
  1. 13

    0下载:
  2. 关于rbf神经网络实现图像分类(主要针对遥感图像)的IEEE英文文献-NOISE REMOVAL FROM LAND COVER MAPS
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-05-07
    • 文件大小:1207909
    • 提供者:sfes
  1. 13bus

    0下载:
  2. Pscad simulink of standard IEEE 13 bus system
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:5555
    • 提供者:nima
  1. feeder13

    0下载:
  2. 这些测试的一个程序来代表不同的配置,全系三相变压器的能力,和不平衡负载。-These test the capability of a program to represent transformers of various configurations, full three phase lines, and unbalanced loads.
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:49247
    • 提供者:tsaic23
  1. ieee13busdoubletunedfilter_at3rdbus

    0下载:
  2. IEEE 13 bus with double tuned filter at 3rd bus
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:18378
    • 提供者:haleem
  1. ieee13busdoubletunedfilter_at7thand10thbus

    0下载:
  2. ieee 13 bus with double tuned filter at 7th and 10th bus
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:18519
    • 提供者:haleem
  1. Dhakshinamoorthy_22.3.13

    0下载:
  2. hi this the code for svd in ieee 14 bus system-hi this is the code for svd in ieee 14 bus system
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:90208
    • 提供者:ariv
  1. Raghuraman_22.3.13

    0下载:
  2. this the ieee 14 bus program codes in matlab-this is the ieee 14 bus program codes in matlab
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:172156
    • 提供者:ariv
  1. IEEE 13 Node Test Feeder Data

    0下载:
  2. IEEE 13 node test feeder data include: Capacitor Data configuration Distributed Load Data Line Data Regulator Data Spot Load Data Transformer data UG configuration
  3. 所属分类:文档资料

    • 发布日期:2014-07-15
    • 文件大小:47894
    • 提供者:tahomatab
  1. IEEE-DATA

    0下载:
  2. IEEE3、5、9、11、13、14、30标准节点数据- IEEE standard node data
  3. 所属分类:matlab

    • 发布日期:2017-05-14
    • 文件大小:3457848
    • 提供者:浦健
  1. 05955931

    0下载:
  2. This paper proposes a Flexible D-STATCOM (Distribution STATIC Compensator) and its new controller system, in IEEE 13-bus industrial distribution system, that be able to both mitigate all types of series faults (open-circuit or open conductor faults
  3. 所属分类:单片机开发

    • 发布日期:2017-12-24
    • 文件大小:117760
    • 提供者:phdscolar11
  1. 13-02-2016_00-24-12

    0下载:
  2. Vincenzo Maffione, Luigi Rizzo, Giuseppe Lettieri, Flexible virtual machine networking using netmap passthrough IEEE Lanman 2016, Rome, June 2016
  3. 所属分类:文章/文档

  1. feeder13

    0下载:
  2. IEEE 13 bus feeder data from power system archive
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:49152
    • 提供者:Anees
  1. Matlab and OPENDSS for Distribution power Flow

    0下载:
  2. 实现了matlab COM接口与OPENDSS的交互,可以在matlab中编程对PENDSS内的程序进行更改。以 IEEE-13节点系统潮流计算作为opendss与matlab交互编程的标准示例,帮助学习者更快的掌握opendss与matlab的交互。(OpenDSS utilized for a distribution system power flow using Matlab COM Interfacing)
  3. 所属分类:文章/文档

    • 发布日期:2018-05-03
    • 文件大小:961536
    • 提供者:kongmang
« 12 3 »
搜珍网 www.dssz.com