CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - M序列

搜索资源列表

  1. CH2CH5CH7

    0下载:
  2. 随机序列产生程序、白噪声产生程序、M序列产生程序、Bayes辨识算法程序-system identification
  3. 所属分类:source in ebook

    • 发布日期:2017-03-31
    • 文件大小:517180
    • 提供者:宋程
  1. matlab

    1下载:
  2. 【1】随机序列产生程序 【2】白噪声产生程序 【3】M序列产生程序 【4】二阶系统一次性完成最小二乘辨识程序 【5】实际压力系统的最小二乘辨识程序 【6】递推的最小二乘辨识程序 【7】增广的最小二乘辨识程序 【8】梯度校正的最小二乘辨识程序 【9】递推的极大似然辨识程序 【10】Bayes辨识程序 【11】改进的神经网络MBP算法对噪声系统辨识程序 【12】多维非线性函数辨识程序的Matlab程序 【13】模糊神经网络解耦M
  3. 所属分类:其他小程序

    • 发布日期:2013-10-14
    • 文件大小:7615
    • 提供者:jshuska
  1. Error-correcting_codes_and_its_application

    0下载:
  2. 在选定的数字基带通信系统中,设计应用分组线性纠错编码,提高系统的可靠性。在发送端利用单片机编程,产生n级(n建议取3) m序列,作为汉明码编码器的输入;同样,在发送端单片机中,编程实现对m序列的纠错编码;接收端利用单片机,编程实现汉明码译码,并还原输出m序列。-The selected digital baseband communication systems, the design application packet linear error-correcting codes, to im
  3. 所属分类:Communication

    • 发布日期:2017-03-26
    • 文件大小:24063
    • 提供者:weiwei
  1. 82CPLD_raoma

    0下载:
  2. 基于CPLD的扰码与解扰码器的设计,扰码用M序列实现,m序列级数和频率可选-CPLD-based code scrambling code and de-scrambling device design, scrambling to achieve with the M series, m series progression and frequency of optional
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-16
    • 文件大小:40038
    • 提供者:wfwef
  1. Gold

    1下载:
  2. 用MATLAB实现gold序列,还有平衡gold序列码的实现,m序列的生成-use matlab to make out gold sequence
  3. 所属分类:source in ebook

    • 发布日期:2016-08-10
    • 文件大小:1833
    • 提供者:Andy
  1. kuopin

    0下载:
  2. 直序扩频系统,首先基带信号通过脉冲成型,m序列扩频然后进行BPSK调制发送,接收端接收到信号加干扰和噪声,进行解调和解扩恢复原始信号。- The straight foreword wide frequency system, the first baseband signal through the pulse formation, the m sequence wide frequency then carries on BPSK the modulation transmission, t
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:1653
    • 提供者:xiaoxiao
  1. mgene

    0下载:
  2. m序列产生源程序,很好用!输入本源多项式,可生成指定长度,指定掩码,指定相位长度的序列-m Serial Generator.
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2087
    • 提供者:brian
  1. m_sequencer

    0下载:
  2. m序列发生器,长度可以变化,此处使用长度为40 的移位寄存器。反馈函数使用的是:x40+x5+x4+x3+1-m sequence generator, the length can be varied. here the length of the shift register is 40. Feedback function : x40+ x5+ x4+ x3+1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:136759
    • 提供者:李雪茹
  1. m_generate

    0下载:
  2. m序列生成,用于CDMA扩频通信编码的产生-m sequence generation, coding for the production of CDMA spread spectrum communication
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1108
    • 提供者:宁磊
  1. msequence

    0下载:
  2. m序列生成函数 输入十进制数,经计算 输出二进制m序列-The function of m sequence,from dectobin
  3. 所属分类:matlab

    • 发布日期:2017-03-25
    • 文件大小:1132
    • 提供者:张汀
  1. gold-sequence

    1下载:
  2. m序列产生子函数 gold序列产生子函数 自相关函数和互相关函数计算子函数 调用子函数[s,k,pn]=m(c,b0)产生第二条m序列-function [Rm]=Rm(golda,goldb) 自相关函数和互相关函数计算子函数 N=11 n=2^N-1 Rm(1)=sum(golda.*goldb)/n for k=1:n-1 Rm(k+1)=sum(golda.*circshift(goldb,[0,k]))/n end
  3. 所属分类:matlab

    • 发布日期:2017-03-22
    • 文件大小:1301
    • 提供者:SD
  1. lunwen

    0下载:
  2. 扩频通信中pn序列码的仿真与研究,m序列,M序列,gold序列-Pn sequence spread spectrum communication and research simulation codes, m series, M series, gold sequence
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:265683
    • 提供者:wenchongyang
  1. MSeries

    0下载:
  2. M序列发生器函数,使用m文件实现,2至7级寄存器,主要分为两个部分。第一部分主要负责输入,输出,第二部分是M序列发生函数-M sequence generator function, 2-7 registers, divided into two parts. The first part is mainly responsible for the input, output, and the second part is the sequence generating function M
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:15363
    • 提供者:陈建
  1. m_sequence

    0下载:
  2. m序列产生程序.matlab程序实现。验证正确。根据m序列的原理-m sequence
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:2004
    • 提供者:afu
  1. xitongbianshi

    0下载:
  2. 系统辨识第一章程序,其中包括随机序列的产生,M序列的产生,逆M序列的产生。-System identification chapter procedures, including the generation of random sequence, M sequences generated against the M-sequence generation.
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:1185
    • 提供者:毛绍婧
  1. DSSS_BPSK_7bit

    0下载:
  2. 产生生周期为7的m序列,直接扩频二相相移键控,时域波形和频谱(Generating m sequence with a lifetime of 7, direct spread spectrum two phase shift keying, time domain waveform and spectrum)
  3. 所属分类:*行业应用

    • 发布日期:2017-12-15
    • 文件大小:1024
    • 提供者:阿蕾_LL
  1. project4_M_sequence

    0下载:
  2. 产生m序列,2016年天津市赛区,11年眼图,核心代码(M sequence, 2016 Tianjin city division, 11 years of the eye, the core code)
  3. 所属分类:其他

    • 发布日期:2017-12-23
    • 文件大小:2951168
    • 提供者:王鹏霄
  1. m_sequence

    1下载:
  2. 基于fpga verilog语言生成的m序列。(Generating m sequences based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:3045763
    • 提供者:Wujinlin
  1. E_2011

    0下载:
  2. 生成了一个M序列,适用于2011年全国电子设计竞赛的F题(A M sequence is generated that applies to the F question of the 2011 National Electronic Design Competition)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:705536
    • 提供者:安珍妮
  1. mseq

    0下载:
  2. 生成M序列的Matlab 代码和slx文件(Matlab code for generating M sequences)
  3. 所属分类:matlab例程

    • 发布日期:2018-01-02
    • 文件大小:11264
    • 提供者:薛佳盛
« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com