CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - M序列

搜索资源列表

  1. m-sequence-of-pseudo-random-noise

    1下载:
  2. 基于verilog 的用于通信系统的m序列伪随机噪声,可综合,我已验证通过。-Based on the verilog for m-sequences of pseudo-random noise of the communication system, can be integrated, I verified through.
  3. 所属分类:Other systems

    • 发布日期:2016-08-16
    • 文件大小:14336
    • 提供者:张阳
  1. 函数发生器和基于单片机的伪随机序列

    0下载:
  2. 基于单片机的用C语言实现的函数发生器和基于单片机的伪随机发生器,产生m序列的,也是用C实现的。
  3. 所属分类:C#编程

  1. m-gold-corr

    0下载:
  2. 里面包含m序列,gold序列的生成函数,还有自己编写的自相关函数的M文件。-Which contains m-sequence, the gold generating function of the sequence, as well as I have written the autocorrelation function M-file.
  3. 所属分类:Other systems

    • 发布日期:2017-11-18
    • 文件大小:1444
    • 提供者:聂江峰
  1. -M-sequence-pseudo-random

    0下载:
  2. 建模与辨识基础实验 产生M序列伪随机信号的程序-Modeling and identification from basic experimental procedures of M-sequence pseudo-random signal
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-08
    • 文件大小:33155
    • 提供者:weiyu
  1. m-xulie

    1下载:
  2. 用labview实现的m序列,虽然简单,但是基础-Achieved with labview m sequence, although simple, but basic
  3. 所属分类:LabView

    • 发布日期:2017-04-02
    • 文件大小:25813
    • 提供者:萧奇
  1. Matlab--m

    0下载:
  2. m序列的产生,基于Matlab的m序列发生器的设计-m sequence generation, Matlab-based m-sequence generator design
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:116893
    • 提供者:王皓
  1. M-sequence

    0下载:
  2. 文中用计算机搜索法构造大量不同周期 M 序列样本,运用统计学方法加以分析,指出M 序列的自相关函数最大旁瓣的概率分布类似莱斯分布,对 M 序列的优选有指导性意义。-A large number of M sequences are generated by the computer search method as a swatch. And statistical analysis shows that the statistical probabili ty of i ts maxi
  3. 所属分类:Software Testing

    • 发布日期:2017-04-04
    • 文件大小:161923
    • 提供者:李新一
  1. M-sequence

    0下载:
  2. 编码器生成M序列进行通信,接收后再进行解码。用于扩频率通信中。通过状态机实现。-The encoder generates the M sequence for communication, the receiver and then decoded. For the expansion of the frequency communications. Through the state machine implementation.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:14496
    • 提供者:liyu
  1. m-xulie

    0下载:
  2. 根据多项式产生m序列,并求它的自相关,产生m序列矩形信号和升余弦信号,并求它们的自相关。-According polynomial m sequence generation, and seek its autocorrelation sequence generated m rectangular signal and cosine signals and find their autocorrelation.
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:1470
    • 提供者:xjt
  1. m-gold-chebyshev-sequence

    2下载:
  2. chebyshev混沌扩频序列、m序列、gold序列实现与性能分析比较 包括实现方法、自相关性、互相关性等-chebyshev、m、gold sequence
  3. 所属分类:matlab

    • 发布日期:2017-01-10
    • 文件大小:9216
    • 提供者:han
  1. matlab-m-seq--gold-seq-generator

    0下载:
  2. m序列,gold序列的matlab产生程序-matlab m seq, gold seq generator
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1080
    • 提供者:liangge
  1. M--G--and-Walsh-sequence

    0下载:
  2. 包含matlab中的M序列、G序列以及Walsh序列的案例代码-The M series includes matlab, G sequences and Walsh code sequence case
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:3080
    • 提供者:moweilinfeng
  1. M-sequences-

    0下载:
  2. 输入寄存器参数Cn和初始值An,运行程序,即可产生相应级数M序列-Generate arbitrary M-sequences
  3. 所属分类:LabView

    • 发布日期:2017-04-17
    • 文件大小:19865
    • 提供者:wangzhi
  1. M-series-digital-signal

    0下载:
  2. 第一路用于产生一个10Mbps的M序列,第二路产生10Kbps到100Kbps的M序列,数据率可以按10Kbps步进。-The first way to generate a sequence of M 10Mbps, the second way to produce 10Kbps to 100Kbps M-sequence data rate can 10Kbps steps.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12489498
    • 提供者:蒋欧
  1. m

    0下载:
  2. 这是vhdl编写的产生7位m序列的程序,类比可以产生更多为的。而m序列即可作为输入测试信号,也可以模拟噪声。-It is written vhdl 7 m sequence generation process, can produce more for the analogy. The m-sequence can be used as an input test signal, it can simulate noise.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:749
    • 提供者:殷超
  1. CDMA.m.glod

    0下载:
  2. matlab CDMA的生成,以及m序列,gold序列-The generation of matlab CDMA, and m sequence, gold sequence
  3. 所属分类:3G develop

    • 发布日期:2017-04-14
    • 文件大小:2655
    • 提供者:张俊
  1. m

    0下载:
  2. 本设计实现了一个12级m序列发生器,包含源文件及其测试文件。-This design has realized a level 12 m sequence generator, and the test file contains the source file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:602
    • 提供者:米彦逢
  1. M-seq

    0下载:
  2. 用于M序列测试程序,matlab程序,绘制出M序列并作图-M sequence test
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:656
    • 提供者:雨中人
  1. M-xulie

    0下载:
  2. 利用本原多项式产生m序列 ,m序列是最长线性移位寄存器序列的简称,是一种伪随机序列、伪噪声(PN)码或伪随机码。可以预先确定并且可以重复实现的序列称为确定序列 既不能预先确定又不能重复实现的序列称随机序列 不能预先确定但可以重复产生的序列称伪随机序列。-m xulie
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:459031
    • 提供者:van
  1. Anti-ISI-jamming--m-sequence

    0下载:
  2. 采用m序列替代随机序列作为扩频码,仿真其性能;完成了与随机序列扩频性能之间的比较;完成无线多径ISI信道建模,在ISI信道下,研究了m序列与golden序列抗ISI的仿真误码率比较-M sequence used as a substitute random sequence spreading code, simulation of its performance, the completion of the comparison between the performance of rand
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5387
    • 提供者:许乐
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com