CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - NCO verilog

搜索资源列表

  1. NCO_based_rom

    1下载:
  2. 完整的基于ROM查找表的NCO 产生10位宽的正交信号-Integrity of the ROM-based lookup table of the NCO have 10-bit wide of the orthogonal signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-21
    • 文件大小:86073
    • 提供者:gsg
  1. RomNCO

    1下载:
  2. 基于NCO的数字控制振荡器。带测试程序,输出12位的COS和SIN波形。-Based on the digital control oscillator NCO. With test procedures, the output 12 of the COS and the SIN waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-22
    • 文件大小:30074
    • 提供者:咚咚
  1. NCO

    1下载:
  2. 用verilog语言写的NCO,在quartus环境中应用-Verilog language written with NCO, quartus environment in the applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-22
    • 文件大小:3072
    • 提供者:刘春
  1. LogicLock

    0下载:
  2. 实现数字混频,verilog与原理图混合编程-Digital mixer, verilog and mixed programming schematic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3613960
    • 提供者:张旭
  1. RealizationofdigitaldownconversionbyFPGA

    0下载:
  2. 介绍在FPGA 器件上如何实现单通道数字下变频(DDC)系统。利用编写VHDL 程序和调用部分IP 核相结合的方法研究了数字下变频的FPGA 实现方法,并且完成了其主要模块的仿真和调试,并进行初步系统级验证。-Introduced in the FPGA device on how to achieve the single-channel digital down conversion (DDC) system. VHDL procedures and the use of the prepa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:162827
    • 提供者:于银
  1. dspddc_R12p1

    1下载:
  2. 基于DSPbuilder搭建的DDC,里面包括CIC滤波器,FIR低通滤波器,HB半带滤波器,NCO等,实现了GC5016芯片的功能-DSPbuilder erected based on DDC, which include the CIC filter, FIR low-pass filter, HB half-band filter, NCO, etc. to achieve the function of the GC5016 chip
  3. 所属分类:DSP program

    • 发布日期:2014-10-26
    • 文件大小:17176
    • 提供者:郑程
  1. Nco_gen

    0下载:
  2. NCO产生正余弦振荡波的matlab程序,很实用。-NCO generate cosine Sasser' s matlab program is very practical.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:667
    • 提供者:liujinghua
  1. Code_NCO.zip

    0下载:
  2. 码数控振荡器相位累加器的位数N为32,利用verilog HDL语言在Quartus II 9.1中具体实现了载波和码NCO的设计。,The code numerically controlled oscillator phase accumulator bits N 32 verilog HDL language in the concrete realization of the design of the carrier and code NCO Quartus II 9.1.
  3. 所属分类:GPS develop

    • 发布日期:2017-11-09
    • 文件大小:881
    • 提供者:cc
  1. NCO

    0下载:
  2. 卫星导航接收机 数控振荡器NCO模块 verilog程序完整版-verilog program about satellite navigation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:357709
    • 提供者:liulaiwang
  1. NCO_test

    0下载:
  2. FPGA的压控振荡器NCO完整Verilog工程代码,测试输出1KHZ sin波。signaltap抓取没问题。-VCO NCO complete FPGA Verilog code engineering, test output 1KHZ sin wave. signaltap crawl no problem.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9171564
    • 提供者:allcot
  1. cordic

    0下载:
  2. verilog编写的数字信号发生器NCO用CORDIC方法实现产生sin cos信号,流水线结构,简单实用。-verilog prepared by the digital signal generator NCO using CORDIC method implementation generate sin cos signal, pipelined architecture, simple and practical。
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-12
    • 文件大小:1263
    • 提供者:李斌
  1. NCO

    0下载:
  2. 用Verilog语言编写的数字振荡器,既可以通过频率控制字调整输出频率,也可以通过相位控制字调整其输出相位,已通过调试验证-Verilog language with the digital oscillator, both through the frequency control word to adjust the output frequency, you can also adjust the output phase through the phase control word, h
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1034
    • 提供者:houjunfeng
搜珍网 www.dssz.com