CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - NCO cos verilog

搜索资源列表

  1. RomNCO

    1下载:
  2. 基于NCO的数字控制振荡器。带测试程序,输出12位的COS和SIN波形。-Based on the digital control oscillator NCO. With test procedures, the output 12 of the COS and the SIN waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-22
    • 文件大小:30074
    • 提供者:咚咚
  1. cordic

    0下载:
  2. verilog编写的数字信号发生器NCO用CORDIC方法实现产生sin cos信号,流水线结构,简单实用。-verilog prepared by the digital signal generator NCO using CORDIC method implementation generate sin cos signal, pipelined architecture, simple and practical。
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-12
    • 文件大小:1263
    • 提供者:李斌
搜珍网 www.dssz.com