CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PN码

搜索资源列表

  1. pncode

    0下载:
  2. 关于扩频通信系统的发送端及接收端的vc仿真。pn码产生-SS
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-04
    • 文件大小:662
    • 提供者:aygguo
  1. CFAR-based-PN-code-parallel-acquisition-criteria-s

    0下载:
  2. 基于恒虚警准则的伪码并行捕获门限设置CFAR-based PN code parallel acquisition criteria set threshold-CFAR-based PN code parallel acquisition criteria set threshold
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-24
    • 文件大小:69469
    • 提供者:kamang123
  1. dsss

    0下载:
  2. 直扩信号BPSK的仿真,采用异或移位的算法实现PN码达到扩频的目的-BPSK DS signal simulation, using different algorithms, or shift the PN code to achieve the purpose of spreading
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:624
    • 提供者:关月
  1. msk_mod

    0下载:
  2. msk 调制解调源码,每符号采样8次。对pn码进行调制后,进行解调,解调过程含:符号差分,中值滤波等过程。-msk modem source code, sample 8 times per symbol. Modulation of the pn code after the demodulation, the demodulation process including: symbol differential, the value of the filtering process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1276
    • 提供者:刘进
  1. vhdl

    0下载:
  2. 串并转换和PN码产生的VHDL程序 希望对刚学习VHDL语言的同学有帮助!-And the PN code string and convert VHDL program generated just want students to learn VHDL, help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:989
    • 提供者:ls112853
  1. surement

    0下载:
  2. 伪码测距高度表实现高精度测量的方法Altimeter PN code ranging methods to achieve high precision measurement-Altimeter PN code ranging methods to achieve high precision measurement
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-31
    • 文件大小:247473
    • 提供者:tab
  1. 25880982m_sequence_3

    0下载:
  2. 伪随机码或称PN码,是扩频通信中不可缺少的一种序列。扩频通信,简单的说来就是通过一组相关性很强的伪随机序列,使原有的信号按照伪随机序列的特性出现。-Said pseudo-random code or PN code, spread spectrum communication is an indispensable sequence. Spectrum, simple to say is highly relevant by a group of pseudo-random sequence,
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:850
    • 提供者:郭云
  1. keilC51v7hanhua

    0下载:
  2. verilog语言编写的PN码程序 经过调试的-PN code written in verilog program after debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:722300
    • 提供者:tom
  1. verlag111

    0下载:
  2. verilog语言编写的PN码程序 经过调试的-PN code written in verilog program after debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:614221
    • 提供者:tom
  1. fangzhenjishu

    2下载:
  2. 扩频通信系统实用仿真技术一书的所有源代码,包括 信道编码译码(有LDPC码),扩频调制,载波调制,PN码同步,窄带干扰抑制,直扩频系统仿真实列,跳频系统仿真实例等-spread spectrum system simulate technology
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-27
    • 文件大小:10116589
    • 提供者:刘思成
  1. FFTjiancegailv

    1下载:
  2. 利用FFT捕获PN码,并计算不同信噪比下的检测概率。-Capture PN code with FFT, and calculate the probability of detection under different signal to noise ratio.
  3. 所属分类:matlab

    • 发布日期:2016-06-28
    • 文件大小:1024
    • 提供者:陈适
  1. OFDM_system

    0下载:
  2. 一个完整的ofdm调制系统的仿真程序,包含PN码自动生成,串并转换等各功能模块和算法,重点针对不同信道条件对常用及各种信道盲均衡算法进行仿真分析比较,对不同原型滤波器算法进行研究分析,分析比较QAM系统,供博士毕业论文参考-Ofdm modulation of a complete system simulation program, including automatically generated PN code, and convert the string Other functio
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:167427
    • 提供者:赵万能
  1. m-sequence--PR-sdu

    0下载:
  2. 随机产生m序列 即产生伪随机数 又叫做伪随机序列、伪噪声(PN)码或伪随机码。-M sequence that is randomly generated pseudo-random number generation, also known as pseudo-random sequence, a pseudo-noise (PN) code or pseudo-random code.
  3. 所属分类:matlab

    • 发布日期:2017-03-24
    • 文件大小:28081
    • 提供者:陈真贺
  1. LMS-algorithm-for-adaptive-equalizer

    0下载:
  2. 在ISI信道中,用PN码作为训练序列,使用LMS算法的自适应均衡器-ISI channel, PN code as the training sequence, the adaptive equalizer using the LMS algorithm
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:676
    • 提供者:袁悦
  1. PERFORMANCE-ANALYSIS-OF-TNE

    0下载:
  2. 在直接扩频通信系统中,加强PN码序列的性能分析-PERFORMANCE ANALYSIS OF TNE
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-24
    • 文件大小:312317
    • 提供者:
  1. dssscdma

    0下载:
  2. 实现直接序列的扩频通信,其中仿真波形很形象的反应扩频的各个部分,pn码-Realize the direct sequence spread spectrum communication, which is the reaction of the waveform image of spread spectrum all part of pn code, and so on
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:1266
    • 提供者:刘大国
  1. kuopin

    0下载:
  2. 简单的扩频程序,可以理解码分多址的基本原理,程序采用pn码实现扩频,是简单的扩频程序 -简单的扩频程序,可以理解码分多址的基本原理,程序采用pn码实现扩频,是简单的扩频程序 In brief expand repeatedly procedure, can comprehend that the code divides the basic principle of many addresses, the procedure adopts a pn code realization to
  3. 所属分类:3G develop

    • 发布日期:2017-04-10
    • 文件大小:1204
    • 提供者:shiyulong_bt
  1. m

    0下载:
  2. 伪随机序列、伪噪声(PN)码或伪随机码。可以预先确定并且可以重复实现的序列称为确定序列;既不能预先确定又不能重复实现的序列称随机序列;不能预先确定但可以重复产生的序列称伪随机序列。-Pseudo-random sequence, a pseudo noise (PN) code, or pseudo-random code. The sequence is called to determine the sequence can be pre-determined and can be repe
  3. 所属分类:Communication

    • 发布日期:2017-11-15
    • 文件大小:1165312
    • 提供者:衡昱帆
  1. 基于FPGA直接序列扩频系统的设计

    1下载:
  2. 针对一般无线通信系统抗干扰、抗噪声以及抗多径性能力差的缺点,提出了一种基于FPGA 的直接序列 扩频系统设计。该设计采用63 位的pn 码作为扩频调制的码序列,在发送端,对信息码进行扩频调制; 在接收端,对 收到的扩频调制信号进行解扩,增强了系统的抗干扰性和可靠性。同时在Altera 公司的Quartus II 软件中,使用硬件描 述语言VHDL 和原理图相结合的方法进行了电路的设计实现。通过把电路下载到Altera 公司的CycloneIII 的 EP3C10E144C8N 芯片中调试
  3. 所属分类:文件格式

    • 发布日期:2013-02-18
    • 文件大小:468566
    • 提供者:ymlhhb
  1. mapbasic-tools

    1下载:
  2. mapbasic编写完整网优工具,用于TD网络优化 有频点查询 小区PN码显示等功能-mapbasic write a complete network optimization tools
  3. 所属分类:GIS program

    • 发布日期:2017-11-15
    • 文件大小:25485
    • 提供者:mayan
« 1 2 3 4 56 7 8 9 »
搜珍网 www.dssz.com