CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - SPI master vhdL

搜索资源列表

  1. spi

    0下载:
  2. SPI master的verilog代码-Verilog code for SPI master
  3. 所属分类:Com Port

    • 发布日期:2017-03-28
    • 文件大小:2540
    • 提供者:xudong
  1. SPI_TEST

    0下载:
  2. The Serial Peripheral Interface Bus or SPI bus is a synchronous serial data link standard named by Motorola that operates in full duplex mode. Devices communicate in master/slave mode where the master device initiates the data frame. Multiple slave d
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:478022
    • 提供者:wei
  1. FPGASPI

    0下载:
  2. 用FPGA实现主SPI程序,包含开发工程、测试文件和源文件代码-fpga design the SPI code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:300945
    • 提供者:Lee
  1. AIC

    2下载:
  2. 使用FPGA/CPLD设置语音AD、DA转换芯片AIC23,FPGA/CPLD系统时钟为24.576MHz 1、AIC系统时钟为12.288MHz,SPI时钟为6.144MHz 2、AIC处于主控模式 3、input bit length 16bit output bit length 16bit MSB first 4、帧同步在96KHz-The use of FPGA/CPLD set voice AD, DA conversion chip AIC23, FPGA/
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-13
    • 文件大小:1582
    • 提供者:张键
  1. spi.tar

    0下载:
  2. This is a verilog code used oversampled clock to implement SPI slave. Also include C code for a ARM processor as the SPI master-This is a verilog code used oversampled clock to implement SPI slave
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:1331
    • 提供者:johnl
  1. spi_master_control

    1下载:
  2. VHDL SPI 控制器FPGA官网提供-VHDL SPI controller FPGA to provide official website
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:670938
    • 提供者:lonely_vv
  1. spi_master

    1下载:
  2. SPI wishbone master and verification environment
  3. 所属分类:其他小程序

    • 发布日期:2017-05-18
    • 文件大小:2506640
    • 提供者:王小墨
  1. spi_core_open

    0下载:
  2. SPI 设计 为主机设计,供大家参考,希望对大家有用-SPI master design
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:96381
    • 提供者:
  1. spi_master

    0下载:
  2. SPI接口的实现以及对外设的读写操作,其中包扩了几种工作方式,同时可以读取外设的版本号,传输速率可以达到2Mbps-SPI interface implementation, as well as read and write operations on the peripheral, which extended several work packages at the same time can read the version number of peripherals, transfer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1077
    • 提供者:guoguo
  1. spi_verilog

    0下载:
  2. 实现SPI MASTER功能,并有仿真代码和仿真结果。-To achieve SPI MASTER function, and a simulation code and simulation results.
  3. 所属分类:MPI

    • 发布日期:2017-03-22
    • 文件大小:45437
    • 提供者:davi_insist
  1. conjoined

    0下载:
  2. SPI protocol: Serial Periphral Interface with both slave and master incorporated-SPI protocol: Serial Periphral Interface with both slave and master incorporated
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:1111
    • 提供者:smik
  1. l1ghVhVI

    0下载:
  2. The VSPI core implements an SPI interface compatible with the many -- serial EEPROMs, and microcontrollers. The VSPI core is typically used -- as an SPI master, but it can be configured as an SPI slave as well.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:226487
    • 提供者:aaa
  1. SpiMaster

    1下载:
  2. This a verilog code for SPI Master testbench is also provided spi_top.v Xilinx ISE or Icarus verilog to compile and simulate-This is a verilog code for SPI Master testbench is also provided spi_top.v Xilinx ISE or Icarus verilog to compile an
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:8831
    • 提供者:RutaliMulye
  1. SPI-Master-Core-DAC-ADC-spartan

    1下载:
  2. SPI Master Core for spartan (ADC, DAC) vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1962350
    • 提供者:onur
  1. SPI-Core_nguyen

    1下载:
  2. SPI Master Core HDL: VHDL 93 Compatibility: all FPGAs, CPLDs parameterization: - variable data width - Phase/polarity configurable - selectable buffer depth - serial clock devision due to system clock package usage: IEEE
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:17918
    • 提供者:AgentNguyex
  1. SPI-master-P-tb

    0下载:
  2. SPI master VHDL realisation Also contains TestBench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2078
    • 提供者:Stan
  1. spi_mem_programmer-master

    0下载:
  2. spi_mem_programmer A simple verilog module for programming (Q)SPI flash memories
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:9216
    • 提供者:d.pershin
  1. verilog_spi-master

    0下载:
  2. verilog_spi A simple demo SPI interface implemented in verilog
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:6144
    • 提供者:d.pershin
  1. SPI

    0下载:
  2. 使用VHDL写的SPI Master模块(Using the SPI Master module written in VHDL)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:2048
    • 提供者:BY冬子
  1. spi

    0下载:
  2. 利用VHDL在FPGA内实现SPI总线的主从控制器设计(SPI Master and Slave Controller)
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:638976
    • 提供者:今世闲人
« 12 »
搜珍网 www.dssz.com