CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Synplify

搜索资源列表

  1. 5-2-2Syn

    0下载:
  2. synplify环境下 实现 全加器 功能-synplify environment to achieve full functionality increases
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:7505
    • 提供者:wuhao
  1. BoothMultiplier

    0下载:
  2. -- Booth Multiplier -- This file contains all the entity-architectures for a complete -- k-bit x k-bit Booth multiplier. -- the design makes use of the new shift operators available in the VHDL-93 std -- this design passes the Synplify synthe
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1833
    • 提供者:罗兰
  1. wave_gen

    0下载:
  2. 波形发生器,带TESTBENCH, 多平台 -- the design makes use of the new shift operators available in the VHDL-93 std -- this design passes the Synplify synthesis check -- download from: www.fpga.com.cn & www.pld.com.cn -waveform generator, with TESTBENCH.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1184
    • 提供者:罗兰
  1. booth

    0下载:
  2. -- Booth Multiplier -- This file contains all the entity-architectures for a complete -- k-bit x k-bit Booth multiplier. -- the design makes use of the new shift operators available in the VHDL-93 std -- this design passes the Synplify
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1791
    • 提供者:leanne
  1. FPGA_GPS_C_A

    1下载:
  2. 本文:采用了FPGA方法来模拟高动态(Global Position System GPS)信号源中的C/A码产生器。C/A码在GPS中实现分址、卫星信号粗捕和精码(P码)引导捕获起着重要的作用,通过硬件描述语言VERILOG在ISE中实现电路生成,采用MODELSIM、SYNPLIFY工具分别进行仿真和综合。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:164313
    • 提供者:xiaozhu
  1. synplify862crack

    0下载:
  2. 如题,synplify8.62的破解,很好用,比较新的synplify版本。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:24114
    • 提供者:ln
  1. syn81_crk_new

    0下载:
  2. synplify 8.1 pro 的最新破解文件
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:23908
    • 提供者:johnnyz
  1. synplify

    0下载:
  2. 是一个相当好的程序软件,仅供参考,好东西大家一起享用
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3608
    • 提供者:z
  1. FPGA

    0下载:
  2. FPGA设计全流程:Modelsim>>Synplify.Pro>>ISE 第一章 Modelsim编译Xilinx库 第二章 调用Xilinx CORE-Generator 第三章 使用Synplify.Pro综合HDL和内核 第四章 综合后的项目执行 第五章 不同类型结构的仿真
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:218238
    • 提供者:青岚之风
  1. ARelativelySimpleRISCCPU

    0下载:
  2. A Relatively Simple RISC CPU 设计源码并附详细的说明文档。可以ModelSim进行仿真,并可以用synplify进行综合。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:224634
    • 提供者:hulin
  1. VHDL

    0下载:
  2. 本文使用实例描述了在 FPGA/CPLD 上使用 VHDL 进行分频器设 计,包括偶数分频、非 50%占空比和 50%占空比的奇数分频、半整数 (N+0.5)分频、小数分频、分数分频以及积分分频。所有实现均可 通过 Synplify Pro 或 FPGA 生产厂商的综合器进行综合,形成可使 用的电路,并在 ModelSim 上进行验证。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:322416
    • 提供者:黄鹏曾
  1. Des2Sim

    0下载:
  2. 本文介绍了一个使用 VHDL 描述计数器的设计、综合、仿真的全过程,作为我这一段 时间自学 FPGA/CPLD 的总结,如果有什么不正确的地方,敬请各位不幸看到这篇文章的 大侠们指正,在此表示感谢。当然,这是一个非常简单的时序逻辑电路实例,主要是详细 描述了一些软件的使用方法。文章中涉及的软件有Synplicity 公司出品的Synplify Pro 7.7.1; Altera 公司出品的 Quartus II 4.2;Mentor Graphics 公司出品的 ModelSim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1945930
    • 提供者:黄鹏曾
  1. tb

    0下载:
  2. 检测上升沿的verilog程序,有验证程序,可用synplify验证
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1002
    • 提供者:ly
  1. Synplify_Pro_FPGA

    0下载:
  2. 基于 Synplify /Synplify Pro 的 FPGA 高级综合设计-Based Synplify/Synplify Pro advanced FPGA synthesis design of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:279856
    • 提供者:mingboshui
  1. Libero8.3

    0下载:
  2. 介绍了 Actel FPGA 的集成开发环境 IDE 的使用,从软件的安装和设置,以及 通过一个简单的例子说明如何使用 IDE中集成的第三方软件,如:Synplify、ModelSim等,可以帮助读者快速入门,缩短开发时间。-Actel FPGA introduced the use of IDE integrated development environment, from software installation and setup, as well as through a sim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2623653
    • 提供者:anranxjk
  1. Synplify_FPGA_HUAWEI

    0下载:
  2. Synplify工具使用指南(华为文档),华为培训资料,华为工程师讲述FPGA软件工具的使用-Synplify of FPGA soft by huawei Inc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:530711
    • 提供者:heanyu
  1. ModelSimPSynplifyPQuartus-

    0下载:
  2. ModelSim+Synplify+Quartus fpga软件应用-ModelSim+ Synplify+ Quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:393195
    • 提供者:重阳
  1. synplify

    0下载:
  2. synplify工具使用指南 FPGA开发工具-synplify FPGA DC tools
  3. 所属分类:Embeded Linux

    • 发布日期:
    • 文件大小:486641
    • 提供者:王维
  1. Synplify

    0下载:
  2. Synplify快速入门的内部资料,是学习Synplify的好资料-The Synplify s QuickStart internal information, is learning the Synplify good information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:100405
    • 提供者:liguohui
  1. Synplify.Premier__9.6.2_with_crack

    0下载:
  2. Synplify.Premier__9.6.2破解教程及文件-Synplify.Premier__9.6.2 crack tutorial and file
  3. 所属分类:Crack_Hack

    • 发布日期:2017-11-13
    • 文件大小:59728
    • 提供者:赵岩
« 1 23 4 »
搜珍网 www.dssz.com