CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL simple calculator

搜索资源列表

  1. calculation2

    0下载:
  2. 用VHDL语言实现0--100范围内简单计算器功能的源代码,包括加减乘除四种运算功能-VHDL 0 -- 100 within a simple calculator function in the source code. including the four arithmetic operations function
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2729
    • 提供者:刘西圣
  1. VHDL1

    0下载:
  2. a simple calculator with vhdl operators performing calculator operation
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1104
    • 提供者:mak chi ho
  1. 用VHDL编写的计算器

    3下载:
  2. 用VHDL编写的计算器:能实现简单的加减乘除四则运算 ,Prepared using VHDL Calculator: able to achieve simple addition and subtraction, multiplication and division 4 computing
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:3913
    • 提供者:邓法群
  1. calculator

    3下载:
  2. 用VHDL在quartus2下实现的计算器。输入为4*4矩阵键盘,输出为共用数据线的数码管。可以实现简单数学运算、逻辑运算、进制转换、连续运算等功能。-Using VHDL in quartus2 achieve calculator. Input 4* 4 matrix keyboard, the output data lines for sharing of digital control. Can achieve a simple mathematical operations, log
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1276791
    • 提供者:jizhen
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. calculator

    0下载:
  2. VHDL编写计算器,功能包括:加,减,乘,除。通过keypad输入及输出-Calculator written with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:314504
    • 提供者:hodog
  1. 61EDA_D1051

    0下载:
  2. 用VHDL编写的计算器:能实现简单的加减乘除四则运算-Prepared using VHDL calculator: to achieve simple addition and subtraction, multiplication and division four computing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:24720
    • 提供者:缺打打
  1. erwertwerwe

    1下载:
  2. 用VHDL编写的计算器:能实现简单的加减乘除四则运算-Prepared using VHDL calculator: to achieve simple addition and subtraction, multiplication and division four computing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:11093
    • 提供者:缺打打
  1. dp1sol

    0下载:
  2. Simple Binary Calculator
  3. 所属分类:Algorithm

    • 发布日期:2017-04-17
    • 文件大小:131435
    • 提供者:Klon
  1. Calculator_altera

    0下载:
  2. 简易计算器,采用VHDL编写,能进行加减运算-Simple calculator, using VHDL writing, addition and subtraction operations can be carried out
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:438139
    • 提供者:chen
  1. test

    0下载:
  2. 简易计算器 2位数字的加减乘除 用VHDL编程 在实验箱上实现-Simple Calculator 2-digit addition and subtraction, multiplication and division using VHDL programming to achieve in the experimental box
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:3794791
    • 提供者:方婷
  1. VHDL

    0下载:
  2. 计算器实现 功能简单容易实现 可自我调试至更强大性能,不喜勿下-Calculator features simple and easy to achieve self-commissioning to a more powerful performance, do not like not under
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5328
    • 提供者:shangrrw
  1. verilog_calculator

    1下载:
  2. 用verilog编写的简易计算器代码。通过一位全加器组成电路,可以实现加法、减法和乘法,并在七段数码管上显示出十进制的结果。-Simple calculator with code written in verilog. Composed by a full adder circuit, can add, subtract and multiply, and in the seven-segment LED display on the decimal result.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:15920
    • 提供者:刘涛
  1. VHDL

    0下载:
  2. It s a simple calculator of addition and multiplication using a simple stack, with multiple test benches. The files test-button and debounce are for the use on a board for the correct functionality of the input buttons.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:17869
    • 提供者:mandara
  1. Simple-calculator

    0下载:
  2. Simple calculator using VHDL coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1290216
    • 提供者:kien kien
  1. 123

    0下载:
  2. 基于FPGA的简单计算器系统的设计,使用了vhdl与verilog语言,附有文档介绍-Simple calculator system based on FPGA design using vhdl verilog language, with document describes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:5671150
    • 提供者:于智同
  1. jianyijisuanqi

    0下载:
  2. 用VHDL实现简易计算器,实现加法、减法、乘法、除法的功能。-Use VHDL to realize simple calculator, can realize the function of addition, subtraction, multiplication, and division.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:15981
    • 提供者:
  1. calc_16_01_14

    0下载:
  2. A VHDL code for a simple calculator.It reads the operator and operands form the memory and execute
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:589987
    • 提供者:Prasad.M
  1. FPGADE270CACULATOR

    1下载:
  2. 本文介绍了一个简单的计算器的设计,该设计采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示在LCD1602上。-This article describes a simple calculator design that uses a field programmable logic device FPGA design and VHDL language based on arithmetic functions, and decimal display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-01
    • 文件大小:3398656
    • 提供者:南宫崔浩
  1. vhdl---calculator

    0下载:
  2. 基于vhdl语言编写的简易计算器程序,其中主要功能有加减乘和清除,确定等,可实习现连续运算。输出使用七段数码管输出,输入采用拨码开关的方式输入。若计算结果超过99999,蜂鸣器自动报警。-Vhdl language based on simple calculator program, where the main function, subtraction, multiplication and clear, determined, can now practice continuous op
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1817518
    • 提供者:张圆
« 12 »
搜珍网 www.dssz.com