CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - XOR

搜索资源列表

  1. chuankoujingling

    0下载:
  2. 所有的单片机都会涉及到232 或者485通讯,而通讯中又不可避免的出现错误.本例就给出了一个现成的校验软件.完成串口通讯,并且进行crc,累加和,异或和等效验结果-All of the single-chip will be 232 or 485 relating to communications, and communications also inevitable error. In this case on a given off-the-shelf software validatio
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-31
    • 文件大小:309065
    • 提供者:haifengmofan
  1. rightrotate

    0下载:
  2. a XOR b> a,然后a XOR b< b,and both a and b are dependent data-a XOR b
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-28
    • 文件大小:840
    • 提供者:laSiA
  1. Bp_net

    0下载:
  2. 测试神经网络异或问题,只是一个很小的程序,希望大家能多多指教。-Testing neural network XOR problem, only a small fraction of the procedure, I hope we can all the exhibitions.
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-05-15
    • 文件大小:42036
    • 提供者:罗瑞
  1. XOR2

    0下载:
  2. 两输入异或门 两输入异或门-Two-input XOR gate two input XOR gate
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:31933
    • 提供者:暝剑
  1. bpxor

    0下载:
  2. 用bp网络对xor问题的解析,比较基础,希望对大家有帮助-Xor network with bp analytical, comparative basis, in the hope that we have to help
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-29
    • 文件大小:987
    • 提供者:魏群
  1. DE2_VGA3

    0下载:
  2. The VGA example generates a 320x240 diffusion-limited-aggregation (DLA) on Altera DE2 board. A DLA is a clump formed by sticky particles adhering to an existing structure. In this design, we start with one pixel at the center of the screen and allow
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1276567
    • 提供者:Donghua Gu
  1. alu

    0下载:
  2. 用VHDL实现8种运算的ALU,带鱼不带符号的加减乘除,与或异或和求反-Use VHDL to achieve the eight kinds of computing ALU, hairtail unsigned addition and subtraction, multiplication and division, with or XOR and seek anti-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:1238
    • 提供者:helen
  1. mega48_HEF4070_lcddriver

    0下载:
  2. MEGA48与异或门驱动LCD+红外控制原理图 eagle编译-MEGA48 XOR gate driver with LCD+ Infrared control schematic compiler eagle
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:55707
    • 提供者:Jeson
  1. bpnn1

    0下载:
  2. 一个测试 后馈神经网络的程序, 解决XOR 问题-After a test procedure for feed-forward neural network to solve XOR problem
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-04
    • 文件大小:13341
    • 提供者:李成华
  1. DelphiEnCrypt

    0下载:
  2. Delphi开发的加密解密源代码例子,利用了异或运算。-Delphi development of encryption and decryption source code examples, using the XOR operator.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-30
    • 文件大小:178307
    • 提供者:kickthc
  1. EncryptByFile(clientUsing)

    0下载:
  2. VC6写的文件加密方法,其实这个比较简单,就是通过简单的异或运算进行加解密操作!13944902227-VC6 write file encryption methods, in fact, this relatively simple, that is, through a simple XOR encryption and decryption operations to carry out the operation! 13944902227
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:91246
    • 提供者:柳彦春
  1. EncryptByHarddisk(clientUsing)

    0下载:
  2. VC6写的利用硬盘号加密的方法,其实这个比较简单,就是通过简单的异或运算进行加解密操作!13944902227-VC6 written using the method of hard disk encryption No. In fact, this relatively simple, that is, through a simple XOR encryption and decryption operations to carry out the operation! 139449022
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-10
    • 文件大小:63512
    • 提供者:柳彦春
  1. EncryptByNetCard(clientUsing)

    0下载:
  2. VC6写的利用网卡加密的方法,其实这个比较简单,就是通过简单的异或运算进行加解密操作!13944902227-VC6 written card encryption method to use, in fact, this relatively simple, that is, through a simple XOR encryption and decryption operations to carry out the operation! 13944902227
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-10
    • 文件大小:42927
    • 提供者:柳彦春
  1. AAA

    0下载:
  2. Private Sub Command1_Click() strtmp = Text1.Text nlen = Len(strtmp) k = 1 For i = 1 To nlen j = Asc(Mid(strtmp, i, 1)) If j < 47 Or j > 58 Then k = 2 End If Next i If k <> 2 Then Text2.Text = Val(Text1.Tex
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-04-09
    • 文件大小:145453
    • 提供者:WEI
  1. CRC

    0下载:
  2. 通过对于模2除法的研究 可以得到如下方法: 1. 把信息码后面加上p-1位的0,这个试验中p是6位,即在输入的信息码后面加上“00000”。把这个17位的被除数放入input中。 2. 在得到被除数input之后,设计一个在被除数上移动的数据滑块变量d,把input中的最高位开始逐次复制给变量d。 3. 如果d的最高位为1,由变量d和变量p做异或运算;如果d的最高位为0则不运算或者做多余的异或‘0’的运算。 4. 把滑块变量d往后滑动一位。 5. 循环步骤(3,4)
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-26
    • 文件大小:6033
    • 提供者:lijq
  1. asm-240128-blue-lcd-dispaly

    0下载:
  2. 辅助说明: 代码交流 QQ59771230 email:choudaxian@163.com 注意事项: 1) LCD 指令中的TEXT GRAPHIC AREA SET效果是什么样的 2) LCD 指令中的MODE SET中的OR AND XOR 有图层的味道  3) DJNZ+指令C0H(DATA AND WRITE)与相同的数据时就会出错 4) CHAR ADN PIC分别清除 5) 几何图形绘制与实现图形 6) 菜单优化设计,结构体:
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:7127
    • 提供者:yuxiqian
  1. demo4

    0下载:
  2. BP神经网络解决异或问题 BP神经网络解决异或问题-BP neural network to solve XOR problem of BP neural network to solve XOR problem
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-04
    • 文件大小:8555
    • 提供者:雅恩
  1. ALU

    0下载:
  2. 在Xilinx7.1平台下编写的ALU代码,可以实现五位加法、减法、与、异或四种运算!-Xilinx7.1 platform in the preparation of the ALU code, can be achieved five adder, subtraction, and, four computing XOR!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1403
    • 提供者:梁晓炬
  1. b

    0下载:
  2. 利用神经网络BP算法求解异或问题的源代码-The use of neural network BP algorithm XOR problem s source code
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1261
    • 提供者:rrr
  1. disanci

    1下载:
  2. 5位的操作数X和Y输入后暂存在寄存器A和B中,两位的操作控制码control暂存在寄存器C中,按照control码的不同,分布实现下列操作: 00控制X+Y 01控制X-Y 10控制X and Y 11控制 X xor Y 运算结果暂存在寄存器D中,然后输出。 -5 of the operand X and Y after the temporary importation of A and B in the register, the two operational c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:408335
    • 提供者:ALEX
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 34 »
搜珍网 www.dssz.com