CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld

搜索资源列表

  1. CPLD的串口程序(VHDL)

    0下载:
  2. 在CPLD上实现UART,利用VHDL进行编程。
  3. 所属分类:系统编程

    • 发布日期:2009-01-03
    • 文件大小:746970
    • 提供者:greatlht
  1. CPLD读取ADS7886

    2下载:
  2. CPLD读取Ti串行ADC芯片ADSL7886的Verilog代码
  3. 所属分类:VHDL编程

    • 发布日期:2009-02-18
    • 文件大小:709
    • 提供者:agedgm
  1. 基于CPLD的VHDL语言数字钟(含秒表)设计

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计
  3. 所属分类:VHDL编程

  1. CPLD任意分频输出 VHDL

    0下载:
  2. CPLD任意分频输出 VHDL,调试通过
  3. 所属分类:VHDL编程

  1. 用CPLD实现硬件直线插补器

    0下载:
  2. 介绍了采用CPLD器件设计的硬件直线插补器 及其优点,它经过环形分配器及放大电路,同时控制二维(X 向、Y向)步进电机快速实现直线绘制。它比利用软件实现 的直线插补法速度快,精度高,适用于数字控制的机械加工 或绘图设备。
  3. 所属分类:文档资料

    • 发布日期:2010-12-18
    • 文件大小:115196
    • 提供者:xxd_seeker
  1. FPGA的学习指南,绝对经典,内容比较超值CPLD-FPGA.rar

    1下载:
  2. FPGA的学习指南,绝对经典,内容比较超值CPLD-FPGA.rar
  3. 所属分类:编程文档

    • 发布日期:2011-05-25
    • 文件大小:172576
    • 提供者:changroc
  1. 详细介绍了FPGA和CPLD的初级入门内容,是很好的FPGA电子书.rar

    0下载:
  2. 详细介绍了FPGA和CPLD的初级入门内容,是很好的FPGA电子书.rar
  3. 所属分类:编程文档

    • 发布日期:2011-05-25
    • 文件大小:192764
    • 提供者:changroc
  1. 基于单片机和CPLD的数字相位测量仪设计

    0下载:
  2. 基于单片机和CPLD的数字相位测量仪设计
  3. 所属分类:开发工具

    • 发布日期:2011-06-03
    • 文件大小:155389
    • 提供者:liyanhong_26
  1. XILINX全系列产品选型速查指南

    1下载:
  2. 包括XILINX的FPGA、CPLD、IP、工具、开发板等
  3. 所属分类:咨询培训

  1. CPLD实现快速低开关损耗的优化SVPWM算法

    0下载:
  2. 介绍了利用ALTERA公司的Maxplus Ⅱ软件及ACEX芯片,基于一种用于三相电压型逆变器的优化SVPWM算法,来实现变频调速系统,该算法采纳Kohonen神经网络的优点。选择适当的调制方法和改进的算法,不但可以显著地缩短计算时间,且显著减少开关损耗。用复杂可编程逻辑器件(CPLD) 来实现这种算法非常简单合适。
  3. 所属分类:编程文档

  1. ispLEVER是LATTICE的CPLD、FPGA继承开发环境

    0下载:
  2. ispLEVER是LATTICE的CPLD、FPGA继承开发环境,ISPLEVER许可文件--ISPLEVER6.0-7.1的注册机,ispLEVER is LATTICE of CPLD, FPGA development environment succession, ISPLEVER license file- ISPLEVER6 .0-7.1 the Zhuceji
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:271365
    • 提供者:陈荣
  1. fpga_docu.rar

    0下载:
  2. CPLD/FPGA 入门文档。国内某知名fpga开发商编写的基础教程,共18篇。从使用fpga如何点亮led灯到VGA到8051内核使用方法。如果您是打算学习cpld/fpga,建议先阅读这些文章再选择采购开发板。,CPLD/FPGA entry documents. FPGA developers a well-known domestic basis for the preparation of curricula, a total of 18. From how to use the FP
  3. 所属分类:Project Design

    • 发布日期:2017-05-19
    • 文件大小:5508930
    • 提供者:gao
  1. ug_alt_ufm.rar

    0下载:
  2. ALTERA公司的MAXⅡ系列CPLD的内部flash使用教程,内容很详细,图文并茂,英文版。,ALTERA s MAX Ⅱ series CPLD to use the internal flash tutorial is very detailed, with illustrations in English.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:849305
    • 提供者:blur
  1. altera_epm1270_MAX.rar

    0下载:
  2. 一个ALTERA公司EPM1270 cpld的实验板原理图,其中有PCI接口电路,PDF格式,A ALTERA Corporation EPM1270 cpld schematic diagram of the experimental board, including PCI interface circuit, PDF format
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:241180
    • 提供者:blur
  1. usb_Blaster_rev0.rar

    0下载:
  2. USB Blaster 为Altera 公司针对 CPLD / FPGA 推出的高速编程设备,USB Blaster for the Altera Corporation for CPLD/FPGA devices introduced high-speed programming
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-10
    • 文件大小:2136897
    • 提供者:秦广敏
  1. LCD.rar

    0下载:
  2. LCD Interface_Xilinx.CPLD源码参考设计,LCD Interface Xilinx CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1977943
    • 提供者:huangxing
  1. EPM240_SCH_and_program.rar

    0下载:
  2. EPM240 cpld 原理图+程序。 Verilog HDL语言。 程序有正弦波发生器,ADC0804直流采样和显示,汉字滚动,交通灯,键盘,显示程序,计数器等等。,Schematic diagram+ EPM240 cpld procedures. Sine wave generator procedures, ADC0804 DC sampling and showed that Chinese scroll, traffic lights, keyboard, display pro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:660120
    • 提供者:student88
  1. PID.rar

    1下载:
  2. 本文运用硬件描述语言vhdl所写的PID算法的硬件实现在FPGA/CPLD,In this paper, the use of hardware descr iption language written in vhdl hardware PID algorithm in FPGA/CPLD
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-04-17
    • 文件大小:3496
    • 提供者:留心
  1. TI_DM6467_SCH_CPLD.TI 原装开发板 DM6467 原理图

    1下载:
  2. TI 原装开发板 DM6467 原理图 CPLD 给需要的人,TI original DM6467 development board CPLD schematic to those who need
  3. 所属分类:DSP编程

    • 发布日期:2012-09-29
    • 文件大小:447062
    • 提供者:csallon
  1. abs_code.rar

    1下载:
  2. 这是用CPLD开发的读取绝对式编码器反馈的信号的代码,读取电机的转子的绝对位置和判断转动方向对于电机控制很实用。,This is read by the CPLD Development absolute encoder feedback signal to the code, read the motor' s rotor position and to determine the absolute direction of rotation is very useful for mot
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1602187
    • 提供者:dengzhaoyun
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com