CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - delta sigma modulator

搜索资源列表

  1. 262-45526-LTC2453

    0下载:
  2. Easy-to-Use, Ultra-Tiny, Differential, 16-Bit Delta Sigma ADC With I2C Interface The LTC2453 is an ultra-tiny, fully differential, 16-bit, analog-to-digital converter. The LTC2453 uses a single 2.7V to 5.5V supply and communicates through an I2C i
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:273985
    • 提供者:Lee Ka Wing
  1. FPGA-based-DAC

    0下载:
  2. 用fpga实现的DA转换器,有说明和源码,VDHL文件。 A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC cons
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:58756
    • 提供者:开心
  1. Modeling_Sigma_Delta_Modulator_Non_Idealities_in_S

    1下载:
  2. Modeling Sigma-Delta Modulator Non-Idealities in SIMULINK
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:251542
    • 提供者:Ma Yong
  1. 2

    0下载:
  2. Improved modeling of sigma-delta modulator non-idealities in Simulink
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:615463
    • 提供者:毕闯
  1. TRNG_on_SigmaDelta_Modulator_Thesis

    0下载:
  2. The intention of the work is to analyze the feasibility of using a Sigma-Delta modulator based analog-to-digital converter for true random number generation, whereas the noise source is integrated into modulator’s loop as well as design a Sigma-Del
  3. 所属分类:Special Effects

  1. cdf

    0下载:
  2. In this paper, we propose and study an adaptive delta modulator that has improved SNR performance and better robustness in tracking highly varying signals. The step-size adaptation used in this modulator is based on information about the abso
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:270017
    • 提供者:afiri
  1. 61EDA_D1116

    0下载:
  2. A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC consists of a Delta-Sigma modulat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:59693
    • 提供者:郭晨
  1. DSM

    0下载:
  2. delta sigma modulator
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1555
    • 提供者:nahid
  1. ADCMATLAB

    1下载:
  2. 通过MATLAB对过采样sigma-delta调制器进行仿真的设计方案,采用多级级联结构,有效减少运算量和硬件开销。-By MATLAB on the over-sampling sigma-delta modulator design simulation, using multi-stage cascade structure, effectively reduce the computational complexity and hardware overhead.
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:231571
    • 提供者:王楚宏
  1. simulateDSM

    0下载:
  2. Compute the output of a general delta-sigma modulator with input u, a structure described by ABCD, an initial state x0 (default zero) and a quantizer with a number of levels specified by nlev. Multiple quantizers are implied by making nlev
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:1875
    • 提供者:hamdi
  1. simulateQSNR

    0下载:
  2. Determine the SNR for a quadrature delta-sigma modulator using simulations. The modulator is described by a noise transfer function (ntf) and the number of quantizer levels (nlev). The ntf/stf may be given in ABCD form. The band of intere
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1349
    • 提供者:hamdi
  1. simulateSNR

    0下载:
  2. Determine the SNR for a delta-sigma modulator by using simulations. The modulator is described by a noise transfer function (ntf) and the number of quantizer levels (nlev).
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:1987
    • 提供者:hamdi
  1. BandpassSignalGen

    0下载:
  2. generation of wideband high dynamic range analog signal for area-efficient MADBIST, especially for the on-chip testing of wireless communication IF digitizing sigma–delta modulator chip. Via increasing the order of the one-bit bandpass sigma–delta m
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:5535
    • 提供者:Nupur Naik
  1. delsig

    0下载:
  2. AD中用于调制解调的delta sigma一阶调制器-AD used for modulation and demodulation of the first order delta sigma modulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:701
    • 提供者:josh
  1. ds

    0下载:
  2. ppt of my project on delta sigma modulator
  3. 所属分类:Document

    • 发布日期:2017-04-24
    • 文件大小:297199
    • 提供者:divya
  1. digital_sigma_delta_modulator

    1下载:
  2. simulink模拟的二阶sigma delta调制器 可以提高传输速率 中间用到了半带滤波器和FIR滤波器CIC滤波器 进行过采样-Simulink simulation of second order sigma delta modulator can improve the transmission rate use among the half took filter and FIR filter CIC filter sample
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-03-28
    • 文件大小:68385
    • 提供者:李小白
  1. Verilog-Code

    1下载:
  2. Verilog source code by James Patchell: - Delta Sigma Modulator for doing Digital->Analog Conversion - Aquad-bquad phase detector - Uart Reciever - Uart Transmitter - One shot
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:6853
    • 提供者:happyuser
  1. jietiao

    1下载:
  2. 提供一个matlab程序,功能是将被Delta-Sigma调制器调制过的信号解调出来。-Provide a matlab procedures, functions will be Delta-Sigma modulator modulated signal demodulated.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:651
    • 提供者:紫熙耘
  1. one

    1下载:
  2. 上传一个将正弦函数通过Delta-Sigma调节器转换成正负一的脉冲信号。并分别激励同一系统,得到良好的一致输出-Upload a sinusoidal function by Delta-Sigma modulator converts a signal of positive and negative pulse. And separately driven in the same system, to obtain a good coincidence output
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:809
    • 提供者:紫熙耘
  1. dsm-sigma-delta

    0下载:
  2. Delta-sigma modulator based A/D conversion without oversamplingDelta-sigma modulator based A/D conversion without oversampling-Delta-sigma modulator based A/D conversion without oversamplingDelta-sigma modulator based A/D conversion
  3. 所属分类:IT Hero

    • 发布日期:2017-05-04
    • 文件大小:78337
    • 提供者:hassan
« 12 3 »
搜珍网 www.dssz.com