CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - divider

搜索资源列表

  1. subr

    0下载:
  2. VHDL 8位无符号除法器 试验报告 计算前在A和B端口输入被除数和除数,然后在Load线上送高电平,把数据存到除法计算电路内部,然后经过若干个时钟周期,计算出商和余数,并在C和D端输出。 其实现方法是,将除法器分为两个状态:等待状态与运算状态。 开始时除法器处于等待状态,在该状态,在每一时钟上升沿,采样Load信号线,若是低电平,则仍处于等待状态,如果采样到高电平,除法器读取A,B数据线上的输入数据,保存到内部寄存器a_r,b_r,置c_r为0,d_r为a_r,判断除数是否为零,若
  3. 所属分类:Internet/网络编程

    • 发布日期:2008-10-13
    • 文件大小:83109
    • 提供者:aa
  1. 数字系统设计相关

    0下载:
  2. 这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等-This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45195
    • 提供者:刘建
  1. fpdiv_vhdl四位除法器

    0下载:
  2. fpdiv_vhdl四位除法器 -- DEscr iptION : Signed divider -- A (A) input width : 4 -- B (B) input width : 4 -- Q (data_out) output width : 4 -- DIV_BY_0 (DIVz) output active : high-fpdiv_vhdl four divider -- DEscr iptION : Signed divider -- A (A) in
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:983
    • 提供者:张洪
  1. FPGAprogram2

    0下载:
  2. 半整数分频器电路的VHDL源程序,供大家学习和讨论。 -half-integer frequency divider circuit VHDL source code for all learning and discussion.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3379
    • 提供者:许嘉
  1. VHDL5

    0下载:
  2. 加法器 乘法器电路 除法器电路设计 键盘扫描电路设计 显示电路-Adder multiplier circuit divider circuit design keyboard scan circuit design show circuit, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6607
    • 提供者:ngy68
  1. clk_div_16

    0下载:
  2. 利用VHDL语言编写的一个16分频器,另外可以在程序中修改为任意2N的分频器-use VHDL prepared a 16 dividers, Also in the revision process to be arbitrary 2 N Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:25501
    • 提供者:黎飞飞
  1. shukongfenpinqi

    0下载:
  2. 数控分频器设计:对于一个加法计数器,装载不同的计数初始值时,会有不同频率的溢出输出信号。计数器溢出时,输出‘1’电平,同时溢出时的‘1’电平反馈给计数器的输入端作为装载信号;否则输出‘0’电平。 -NC divider design : an adder counter, loading the initial count value, have different frequency output signal of the overflow. Counter overflow, the
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:797
    • 提供者:空气
  1. Hkbus16

    0下载:
  2. 多数位分频器.............................................可直接编译-Contents Paragraphs Page majority-Frequency Divider can be directly translated .......................
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:12184
    • 提供者:学习
  1. compDIVIDER

    0下载:
  2. 基于VHDL语言描述的一个分频器,根据端口值,可作为四分频,八分频等分频器使用。-based on VHDL descr iption of a divider, according to port value, as a quarter of frequency, Frequency Divider interval such use.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1396
    • 提供者:djksdf
  1. 7_Rsa

    0下载:
  2. RSA公钥加密算法基于大整数因式分解困难这样的事实。 选择两个素数,p,q。(一般p,q选择很大的数) 然后计算 z=p*q f=(p-1)(q-1) 选择一个n,使gcd(n,f)=1(gcd代表greatest common divider,一般n也选择一个素数), n和z就作为公钥。 选择一个s,0<s<f,满足n*s % f=1,s就作为私钥。-RSA public key encryption algorithm based on the integer fa
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:81637
    • 提供者:fasf
  1. EWB

    1下载:
  2. EWB做的多功能数字钟 由振荡器输出稳定的高频脉冲信号作为时间基准,经分频器输出标准的秒脉冲,秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器按“12翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒, 可发挥部分:使闹钟具有可整点报时与定时闹钟的功能。 -EWB done by the multi-function digital clock oscillator output stable high frequency
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:128811
    • 提供者:zero
  1. feizhenshu

    0下载:
  2. 非整数分频器 分频系数为无限不循环小数 vhdl-non-integer frequency divider coefficient of circulator is not unlimited vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1828
    • 提供者:那锋
  1. Verilog_FPGA_fp

    0下载:
  2. 用Verilog实现基于FPGA的通用分频器-using Verilog FPGA-based Universal Frequency Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125483
    • 提供者:xiong
  1. 8253time

    0下载:
  2. windows32 汇编 8253分频器-windows32 Series 8253 Divider
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:12317
    • 提供者:chen
  1. VerilogHDLshejifengpingqihe32weijishuqi

    0下载:
  2. 本文件介绍的是用VerilogHDL语言设计分频器和32位计数器.-This paper presents the design using Verilog HDL language Frequency Divider and 32 counters.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:159000
    • 提供者:少华
  1. my_design_frequency

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号是最重要的信号之一。 下面我们介绍分频器的 VHDL 描述,在源代码中完成对时钟信号 CLK 的 2 分频, 4 分频, 8 分频, 16 分频。 这也是最简单的分频电路,只需要一个计数器即可。-in digital circuits, and often the need for higher frequency for the clock frequency operation, th
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:卢吉恩
  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Generator 7.4 Practical multi-functi
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:457718
    • 提供者:王天
  1. sp

    0下载:
  2. 自由在窗口中建立分隔栏 .zip-free window in the establishment of a free divider in the window to establish separate column. Zip
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:12589
    • 提供者:whjzd
  1. divider1

    0下载:
  2. FPGA 除法器程序-FPGA divider procedures
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:1147
    • 提供者:chenlei
  1. divider3

    0下载:
  2. 一个3分频器。可进一步改装成实际需要的分频器使用-a divider. Can be further converted into actual use of the Frequency Divider
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:29461
    • 提供者:z9z9
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com