CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dot matrix vhdl

搜索资源列表

  1. vhdl语言实现的16乘16的点阵显示设计代码

    1下载:
  2. vhdl语言实现的16乘16的点阵显示设计代码,调试通过,可借鉴-VHDL language to achieve the 16 by 16 dot matrix display design code, debug is passed, can learn from-vhdl language implementation of the 16 by 16 dot matrix display design code, debug through, we may learn-VHDL langu
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-13
    • 文件大小:3507730
    • 提供者:王晨
  1. 240128

    0下载:
  2. 240128液晶驱动程序,本演示程序适用于SMG240128A液晶显示模块与MCS51系列单片机采用MCS51模拟口线的 //硬件连线方式。 // 本演示程序包括T6963C兼容芯片的MCS51模拟口线方式子程序集,T6963C兼容芯片的240128 //液晶显示模块的基本子程序,以及SMG240128系列标准图形点阵型液晶显示的基本演示子程序. // 本演示的内容为,在240列X128行的点阵液晶显示屏上清屏,写数据,读写数据,全屏显示.-240,128 LCD drivers
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:2929
    • 提供者:jack
  1. lattice

    0下载:
  2. 本程序是用VHDL编写,用于实现点阵显示功能。-This procedure is used VHDL to prepare for the realization of dot-matrix display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:135500
    • 提供者:lesslie
  1. VHDL

    0下载:
  2. 实现一个10秒倒计时电路,要求使用8*8点阵显示计时结果。在QuartusII平台上设计程序和仿真题目要求,并下载到实验板验证实验结果。-Achieve a 10-second countdown circuit, requires the use of 8* 8 dot matrix display timing results. QuartusII platform in the design process and simulation on the subject request and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:404056
    • 提供者:li
  1. tingchechang

    0下载:
  2. 停车场显示是日常生活中使用很平常的系统,停车场显示系统的核心是LED显示。本次设计使用KH-310实验箱,使用点阵模块和数码管模块,根据显示的扫描原理,利用8X8点阵模拟实际停车位并由数码管显示车位信息。- Parking is a show system that everyday life is usual to use the information.parking display system is the core of the LED display. The design of
  3. 所属分类:SCM

    • 发布日期:2017-05-07
    • 文件大小:1097561
    • 提供者:huangyang
  1. project3

    0下载:
  2. 用VHDL语言实现一个10秒倒计时电路,要求使用8*8点阵显示计时结果-VHDL language used to achieve a 10 seconds countdown circuits require the use of 8* 8 dot matrix display timing results
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:296484
    • 提供者:eefamily
  1. 23

    0下载:
  2. 基于FPGA的液晶显示控制器的设计,FPGA用的是EP2C5,LCD用的是ST7920内核的122*32点阵的LCD,显示中西文字符-FPGA-based LCD display controller design, FPGA is used EP2C5, LCD is used in the ST7920 core of 122* 32 dot matrix LCD, display of Chinese and Western characters
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2321364
    • 提供者:龙霄
  1. dot

    0下载:
  2. 本点阵模块可以完成16*16的汉字显示,也可以英文数字显示。-The dot matrix module can be completed 16* 16 Chinese characters show that the figures can also be in English.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:251821
    • 提供者:yaodi
  1. 1616

    0下载:
  2. 用vhdl语言描述的16*16点阵显示英文字母-Vhdl language used to describe the 16* 16 dot matrix display alphabetical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4269
    • 提供者:weimin
  1. display

    0下载:
  2. 点阵显示。利用VHDL语言描述-Dot-matrix display. Described using VHDL language. . . . . . . . . ,. . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:179636
    • 提供者:shaozhiming
  1. MUSIC

    0下载:
  2. 16*16点阵循环显示8个汉字,有背景MIDI音乐输出,有一个键盘控制音乐的选择,还附带乐曲弹奏功能。有比较详细的注解。-16* 16 dot matrix display cycle of 8 Chinese characters, with background MIDI music output, there is a keyboard to control the choice of music, but also with music playing capabilities. Mor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1504576
    • 提供者:叶华
  1. led_zfsj

    0下载:
  2. 现场可编程门阵列( FPGA) 是一种可编程逻辑器件, 它具有丰富的I/O 口及内部资源, 编程和修改极为方便, 并且易于扩展和维护, 简化电子电路的设计。本系统采用Altera 公司的FLEX10K作为核心器件, 结合VHDL程序, 实现了对LED 点阵显示字符的控制。-Field programmable gate array (FPGA) is a programmable logic device, which has a wealth of I/O port and internal
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:32812
    • 提供者:x
  1. BS

    0下载:
  2. 用EDA设计ROM和RAM及其应用,用VHDL语言编程实现字符、汉字的存取并用点阵显示-ROM and RAM design with the EDA and its applications, using VHDL programming language characters, Chinese characters, access to and use dot-matrix display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:13039396
    • 提供者:黄奇家
  1. matrix

    0下载:
  2. 该源代码是控制16*16点阵的VHDL语言描述,可以让点阵连续显示设置的汉字。-The source code is to control 16* 16 lattice VHDL language descr iption, allowing a continuous dot-matrix display settings of the characters.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:909042
    • 提供者:王伟
  1. LED.dot.matrix.display

    0下载:
  2. LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。-LED dot matrix display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:80804
    • 提供者:duopk
  1. lcdasegaled

    0下载:
  2. lcd显示 跑马灯显示 七段数码管计时 12232F是一种内置8192个16*16点汉字库和128个16*8点ASCII字符集图形点阵液晶显示器,它主要由行驱动器/ 列驱动器及128×32全点阵液晶显示器组成。可完成图形显示,也可以显示7.5×2个(16×16点阵)汉字.与外部CPU接口采用并行或串行方式控制。-lcd display Seven-Segment LED Display Marquee is a built-in timing 12232F 8192 16* 16 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1108387
    • 提供者:wws
  1. keyboard

    0下载:
  2. PS2键盘控制数码管输入数字和点阵显示字母-PS2 keyboard control LED dot matrix display input numbers and letters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2459
    • 提供者:王蕾
  1. Heart-type-dot-matrix-display

    0下载:
  2. 基于FPGA的用VHDL程序编写的点阵显示爱心型-FPGA-based programming with VHDL-based dot-matrix display of love
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:190079
    • 提供者:飞虎队
  1. Dot-matrix-displays-the-number-6

    0下载:
  2. 基于FPGA的用VHDL语言编写的点阵显示汉字6的程序-FPGA-based VHDL language with the Chinese character dot matrix display 6 programs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:186588
    • 提供者:飞虎队
  1. dot-matrix-display-love-

    0下载:
  2. EDA的vhdl的8*8点阵显示爱心图形的程序-EDA vhdl of 8* 8 dot matrix display love graphics program
  3. 所属分类:software engineering

    • 发布日期:2017-11-13
    • 文件大小:204599
    • 提供者:洋洋
« 12 3 4 »
搜珍网 www.dssz.com