CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dpll

搜索资源列表

  1. clkt2xxx_dpll

    0下载:
  2. OMAP2-specific DPLL control functions driver
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-12-09
    • 文件大小:623
    • 提供者:vunvoqun
  1. dpll44xx

    0下载:
  2. OMAP4-specific DPLL control functions driver for Linux.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-12-07
    • 文件大小:704
    • 提供者:peixouliu
  1. dpll3xxx

    0下载:
  2. OMAP3/4 - specific DPLL control functions
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-12-07
    • 文件大小:4553
    • 提供者:nierajui
  1. clkt2xxx_dpllcore

    0下载:
  2. DPLL + CORE_CLK composite clock functions
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-12-06
    • 文件大小:1759
    • 提供者:kosancang
  1. verilog-pll

    1下载:
  2. 用verilog写的倍频电路 文件中介绍DP-The multiplier circuit file by verilog introduced DPLL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:1322834
    • 提供者:loadziliao
  1. dpll2

    0下载:
  2. 数字锁相环的vdhl实现,鉴相器,计数器,压控振荡器,和分频器-Vdhl DPLL implementation, the phase detector, a counter, a voltage controlled oscillator, and a frequency divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:727
    • 提供者:朱小波
  1. ADPLL-patent

    0下载:
  2. 全数字锁相环的几个专利,全部为英文,很好的参考资料-DPLL patent
  3. 所属分类:File Formats

    • 发布日期:2015-05-16
    • 文件大小:411648
    • 提供者:程硕
  1. 00258213

    0下载:
  2. This paper presents a generalized nonlinear (Markov) analysis technique for evaluation of the statistical performance of uniformly sampled digital phase-locked loops (DPLL). Recently proposed synchronization algorithms use more discrete t
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:440530
    • 提供者:lala
  1. dpll

    0下载:
  2. 用verilog编写的全数字锁相环,包括鉴相器,模K计数器,加减脉冲模块和分频模块,都经过验证-verilog based digital phase lock loop design, including phase detector,mode K counter, increment/decrement counter and frequency divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6231
    • 提供者:chi zhang
  1. dpll44xx

    0下载:
  2. OMAP4-specific DPLL control functions for Linux v2.13.6.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-13
    • 文件大小:1814
    • 提供者:bunraxong
  1. clkt2xxx_dpll

    0下载:
  2. OMAP2-specific DPLL control functions.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-13
    • 文件大小:2166
    • 提供者:moliezw
  1. clkt_dpll

    0下载:
  2. OMAP2 3 4 DPLL clock functions.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:3734
    • 提供者:guwouht
  1. clkt2xxx_dpll

    0下载:
  2. enable DPLL autoidle bits.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:3474
    • 提供者:sekgviu
  1. power-management

    0下载:
  2. Lock USB DPLL on OMAP4 devices so that the L3INIT power domain can transition to retention state when not in use.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-16
    • 文件大小:14467
    • 提供者:yodeihei
  1. code

    0下载:
  2. 本源码是基于VHDL语言环境下的基础实验源码,共分七个部分。分别是:序列检测器、数字密码锁、四位有符号数除法、同步FIFO、DPLL的设计以及Cordic 算法实现。对于VHDL的初学者具有极大的参考价值。-The source is based on experimental basis source VHDL language environment, it is divided into seven sections. They are: the sequence detector, di
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:20433
    • 提供者:朱召宇
  1. io_ordering

    0下载:
  2. DPLL rate rounding: minimum DPLL multiplier, divider values.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-15
    • 文件大小:5152
    • 提供者:tengjevs
  1. fsys

    0下载:
  2. Finalizes DPLL registration process. In case a failure (clk-ref or clk-bypass is missing), the clock is added to retry list and the initialization is retried on later stage.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-29
    • 文件大小:15607
    • 提供者:menlangmv
  1. ti

    0下载:
  2. CM_CLKEN_PLL.EN bit values - not all are available for every DPLL.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:4045
    • 提供者:peitwwao
  1. scc

    0下载:
  2. experimental fullduplex mode with DPLL BRG for MODEMs without clock recovery. -experimental fullduplex mode with DPLL BRG for MODEMs without clock recovery.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-13
    • 文件大小:1951
    • 提供者:yengwstb
  1. DPLL

    0下载:
  2. 一个全数字锁相环,可用于信号的复用中,进行调制和借条操作。-A digital phase-locked loop can be used to signal multiplexing, modulation and IOU operations.
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:10673
    • 提供者:郝建华
« 1 2 3 4 5 67 »
搜珍网 www.dssz.com