CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga 信号发生器

搜索资源列表

  1. 多功能高精度信号发生器的设计

    1下载:
  2. 摘要:直接数字频率合成(DDS)是七十年代初提出的一种新的频率合技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速地发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提出了一种全新的设计模式。本设计结合这两项技术,并利用单片机控制灵活的特点,开发了一种新的函数波形发生器。在实现过程中,本设计选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用了AT89C51单片机作为控制芯片。本
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2009-05-28
    • 文件大小:2195647
    • 提供者:nacker@126.com
  1. 基于FPGA的三相函数信号发生器设计

    0下载:
  2. 基于FPGA的三相函数信号发生器设计
  3. 所属分类:文档资料

    • 发布日期:2010-12-10
    • 文件大小:442056
    • 提供者:jxa2010
  1. dds信号发生器

    1下载:
  2. 基于fpga的dds信号发生器
  3. 所属分类:编程文档

  1. 基于VHDL的DDS信号发生器

    0下载:
  2. 本设计是利用EDA技术设计的电路, 该信号发生器输出信号的频率范围为20Hz~20KHz,幅度的峰 峰值为0.3V~5V两路信号之间可实现0°~359°的相位差。侧重叙述了用FPGA来完成直接数字频率合成器(DDS)的设计
  3. 所属分类:编译

    • 发布日期:2011-05-04
    • 文件大小:363
    • 提供者:meimeisa1
  1. hanshuxinhaogai.rar

    0下载:
  2. 用FPGA做的DDS函数信号发生器,希望大家喜欢,FPGA to do with the DDS Function Generator, I hope everyone likes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3574866
    • 提供者:地方
  1. FPGA-DDS-FM.rar

    2下载:
  2. DDS 调频信号发生器框图设计原理,有仿真测试结果,DDS signal generator FM Design Principle diagram
  3. 所属分类:Communication

    • 发布日期:2016-01-26
    • 文件大小:69719
    • 提供者:chenjiwei
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. 基于FPGA的正弦信号发生器

    1下载:
  2. FPGA资料,正弦信号发生器,用verilog语言写的,内容详实
  3. 所属分类:文档资料

    • 发布日期:2012-10-19
    • 文件大小:1103360
    • 提供者:rex22rex
  1. DDS

    1下载:
  2. 基于DDS原理的正弦信号发生器。用VERILOG语言实现,功能强大。-DDS based on the principle of sinusoidal signal generator. Using Verilog language and powerful.
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:558736
    • 提供者:毛华站
  1. sin125

    0下载:
  2. 用FPGA实现DDS的信号发生器(正弦波125kHz)-Using FPGA to achieve DDS signal generator (sine wave 125kHz)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:197270
    • 提供者:杜海明
  1. FPGAPLLdesign

    0下载:
  2. 基于FPGA和PLL的函数信号发生器时钟部分的实现-FPGA+PLLdesign and practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4562
    • 提供者:wxq1989
  1. vhdldds0000

    1下载:
  2. 采用fpga的hdl语言实现dds的信号发生器的设计,性能与传统相比明显提高。-Hdl language using FPGA implementation of the signal generator dds design, performance markedly improved compared with the traditional.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:172314
    • 提供者:李江
  1. EP1C3_12_10_PHAS

    1下载:
  2. 基于FPGA的移相式DDS正弦信号发生器的VHDL源代码,压缩包里是在Quartus里做的工程,FPGA用的是Cyclone1C3系列-FPGA-based phase-shifting of the DDS signal generator sine VHDL source code, compressed in the bag is done in Quartus Engineering, FPGA is used Cyclone1C3 Series
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:48763
    • 提供者:deadtomb
  1. sji

    0下载:
  2. 频率合成技术在现代电子技术中具有重要的地位。在通信、雷达和导航等设备中,它可以作为干扰信号发生器;在测试设备中,可作为标准信号源,因此频率合成器被人们称为许多电子系统的“心脏”。直接数字频率合成(DDS——Digital Direct Frequency Synthesis)技术是一种全新的频率合成方法,是频率合成技术的一次革命。本文主要分析了DDS的基本原理及其输出频谱特点,并采用VHDL语言在FPGA上实现。对于DDS的输出频谱,一个较大的缺点是:输出杂散较大。针对这一缺点本文使用了两个方法
  3. 所属分类:Project Design

    • 发布日期:2017-03-26
    • 文件大小:961592
    • 提供者:番薯军
  1. DDS

    0下载:
  2. 基于DDS原理的几种信号发生器的设计的几篇论文,使用FPGA平台或者FPGA和PC共同平台实现-DDS-based signal generator several principles of design, the use of FPGA or FPGA platform and a common platform PC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:592121
    • 提供者:王霄洲
  1. key2

    0下载:
  2. FPGA单片机 vhdl编程 正弦波信号发生器 加2个按键控制频率加减-FPGA Microcontroller vhdl programming sine wave signal generator plus two buttons control the frequency of addition and subtraction
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:1062
    • 提供者:洪理梦
  1. dds

    0下载:
  2. dds信号发生器,硬件测试过,效果良好。文件包含整个fpga开发过程产生的所有文件-dds signal generator, the hardware tested to good effect. File contains the entire fpga development process of all documents generated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:232057
    • 提供者:wdw
  1. DDS-FM-FPGA

    0下载:
  2. DDS介绍,FM信号发生器的设计!基于DDS技术的FM信号发生器的设计及其FPGA实现-DDS introduced, FM Signal Generator! FM signal based on DDS technology and FPGA Implementation Generator
  3. 所属分类:Project Design

    • 发布日期:2017-03-26
    • 文件大小:356572
    • 提供者:雨夜里
  1. dds

    0下载:
  2. 基于FPGA的DDS波形信号发生器,功能强大,代码规范,值得学习-FPGA-based DDS waveform signal generator, powerful, code specifications, it is worth learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2561345
    • 提供者:Andy Lao
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com