CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga vhdl s

搜索资源列表

  1. rom.rar

    0下载:
  2. 使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上用硬件描述语言实现一个ROM存储器。,The use of Altera' s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 development board with hardware descr iption language to achieve a ROM memory.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:179606
    • 提供者:Daisy
  1. fpga-dm9000a

    4下载:
  2. 一个项目工程,硬件包含XINLINX FPGA,配置FLASH,串口,SDRAM,与以太网芯片DM9000A,实现数据采集,以太网传输,电路验证完全正确,请放心使用,SPARTAN 3E 的BGA引脚320个,不容易布板,可以参考使用的。要FPGA实现网络通信也可以参考电路,B因为产品升级了所以公开原来的电路的。 -A project engineering, hardware contains XINLINX FPGA, configuration FLASH, serial port, SD
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-26
    • 文件大小:915005
    • 提供者:rong
  1. FPGA_Clk

    0下载:
  2. 基于Cyclone EP1C6240C8 FPGA的时钟产生模块。主要用于为FPGA系统其他模块产生时钟信号。采用verilog编写。 使用计时器的方式产生时钟波形。 提供对于FPGA时钟的偶数分频、奇数分频、始终脉冲宽度等功能。-Based on Cyclone EP1C6240C8 FPGA' s clock generator module. Is mainly used for the FPGA system clock signal generated in other
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1465971
    • 提供者:icemoon1987
  1. link_port-v1[1].1.0

    0下载:
  2. 用于测试ADI的TS201与FPGA之间通信的LINK程序,压缩文件内包括VHDL和Verlog代码。-ADI is used to test the communication between the TS201 and the FPGA' s LINK program, compressed file to include VHDL and Verlog code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:881049
    • 提供者:万传
  1. jiyu-FPGA-dianziqin

    0下载:
  2. 1) 主芯片:Altera 的FLEX10K20TC144-4 STC89C58RD+。 2) 要求扩展键盘接口电路,可以实现电子琴的一般功能,进行乐曲的手动演奏,此外还应该具有存储功能,可以将演奏的乐曲进行存储并在人工控制下进行回放。 3) 完成系统方案设计。 4) 编制相应的VHDL程序并进行相应的仿真工作,完成系统的调试工作。 5) 编写51系统程序,完成初始化、系统控制等功能。 6) 利用51系统实现系统的在线配置。 7) 发挥部分 可以进行乐曲的自动演奏。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:68339
    • 提供者:任大志
  1. usbFPGAconnect

    2下载:
  2. 该例程是PC机通过FX2-CY7C68013-A的USB2.0控制芯片与FPGA实现通信。其中的工程和代码包括PC机上的USB固件程序、驱动程序、上位机程序,FPGA上的VERILOG通信程序。-The routine is a PC, through the FX2-CY7C68013-A of the USB2.0 controller chip and the FPGA to achieve communication. One of the projects and code, incl
  3. 所属分类:USB develop

    • 发布日期:2014-12-05
    • 文件大小:7155120
    • 提供者:梁先国
  1. SPI

    1下载:
  2. 经典spi IP 核心 FPGA是实现有说明文档-spi IP based on fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:49424
    • 提供者:wuyou
  1. FPGA-SATA

    4下载:
  2. 使用FPGA实现SATA协议接口,思路清晰。适用性强,是不可多得的资料。文档为硕士论文。-FPGA implementation agreement with SATA interface, clear thinking. Applicability, is the rare information. Document for the master' s thesis.
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-25
    • 文件大小:1512503
    • 提供者:ERICQ
  1. spitoi2s3

    0下载:
  2. spi转i2s的verilog程序,fpga是总模块,spi和i2s是子模块,shiftreg是转换-spi transfer i2s the verilog program, fpga is the total module, spi, and i2s is the sub-module, shiftreg is to convert
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:5602
    • 提供者:steny
  1. Simulation-and-FPGA-Implementation-of-DigitalDBPSK

    0下载:
  2. 文章介绍了系统的硬件电路原理与具体实现方法,其中主要包括载波恢 复电路,PN 码捕获电路和跟踪电路,并针对Xilinx 公司FPGA 的特点,对各电 路的实现进行优化设计,在不影响系统稳定性和精度的前提下,减少硬件资源 消耗,提高硬件利用率。设计利用Verilog 硬件描述语言完成,通过后仿真验证 电路正确性,并给出综合结果。-This paper introduces the system' s hardware circuit principle and the spe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1008048
    • 提供者:mayuan
  1. FPGA_DESIGNED

    0下载:
  2. 曾经的硕士论文,基于FPGA的8051的soc核研究,用FPGA实现的51核,对FPGA的学习很有帮助-Have master' s thesis, based on the FPGA of the soc of the 8051 nuclear research, with FPGA to achieve the 51 nuclear, helpful for learning FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5193630
    • 提供者:菠萝
  1. AnFPGASoftwareDefinedUltraWidebandTransceiver

    0下载:
  2. Increasing interest in ultra-wideband (UWB) communications has engendered the need for a test bed for UWB systems. An FPGA-based software-defined radio provides both postfabrication definition of the radio and ample parallel processing power. Thi
  3. 所属分类:Communication

    • 发布日期:2017-04-09
    • 文件大小:1396955
    • 提供者:chaiwat
  1. Fingerprint_Identify

    3下载:
  2. 本项目名称是:基于FPGA的指纹识别模块设计。 主要内容为:本模块采用xilinx公司的Spartan 3E系列XC3S500E 型FPGA作为核心控制芯片,通过富士通公司的MFS300滑动式电容指纹传感器对指纹图象进行提取,然后对提取的指纹图像进行灰度滤波、图像增强、二值化、二值去噪、细化等预处理,得到清晰的指纹图象,再从清晰的指纹图象中提取指纹特征点,存入外部FLASH作为建档模板。指纹比对时,采用同样的方法获得清晰的指纹图像,建立比对模板,然后将比对模板与建档模板利用点模式匹配
  3. 所属分类:VHDL编程

    • 发布日期:2013-08-08
    • 文件大小:191002
    • 提供者:xiaoxu
  1. spi_master_control

    1下载:
  2. VHDL SPI 控制器FPGA官网提供-VHDL SPI controller FPGA to provide official website
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:670938
    • 提供者:lonely_vv
  1. FPGA

    0下载:
  2. 华为的基于XILINX公司FPGA器件的高级设计应用.可以帮助放大工程师对FPGA的开发有一个更新的认识.-Huawei, based on XILINX' s FPGA devices advanced design applications. FPGA engineers can help to enlarge the development of an updated understanding.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1706426
    • 提供者:zhangbin
  1. ram

    0下载:
  2. 使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上用硬件描述语言实现一个RAM存储器。-The use of Altera' s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 development board with hardware descr iption language to achieve a RAM memory.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:199155
    • 提供者:Daisy
  1. DDS

    0下载:
  2. 本代码可以用于产生正余弦信号波形,利用FPGA内部的ROM放置一个正余弦采样点的数据表格,通过循环取址的方法,实现波形连续输出。-This code can be used to generate positive cosine signal waveforms, using FPGA' s internal ROM to place a sampling point is the cosine of the data tables, the circulation method of t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:484558
    • 提供者:蔡野锋
  1. lamplap

    0下载:
  2. fpga的多路彩灯控制器的设计与分析,涵VHDL代码 -fpga' s multi-Lantern controller design and analysis, Han VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:408163
    • 提供者:李飞
  1. VHDL

    0下载:
  2. 双口RAM模块源代码(VHDL),用于开发FPGA的双口RAM,可以直接下载到工程中使用。-Dual-port RAM module source code (VHDL), for the development of FPGA' s dual-port RAM, can be directly downloaded to the project use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:738
    • 提供者:wu
  1. jj

    1下载:
  2. 本题设计一个数字存储示波器,以Xilinx公司20万门FPGA芯片为核心,辅以必要的外围电路(包括信号调理、采样保持、内部触发、A/D转换、D/A转换和I/O模块),利用VHDL语言编程,实现了任意波形的单次触发、连续触发和存储回放功能,并按要求进行了垂直灵敏度和扫描速度的挡位设置。信号采集时,将外部输入信号经信号调理模块调节到A/D电路输入范围,经A/D转换后送入FPGA内部的双口RAM进行高速缓存,并将结果通过D/A转换送给通用示波器进行显示,完成了对中、低频信号的实时采样和高频信号的等效采
  3. 所属分类:其他小程序

    • 发布日期:2017-06-10
    • 文件大小:546457
    • 提供者:黄奇家
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com