CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - generator

搜索资源列表

  1. timetable.rar

    0下载:
  2. Timetable Generator source code,Timetable Generator source code
  3. 所属分类:Education soft system

    • 发布日期:2017-04-14
    • 文件大小:5597
    • 提供者:vamshi
  1. QRCodeGenerator.zip

    0下载:
  2. This is a QR-code (2D code) Generator. Program and source code.,This is a QR-code (2D code) Generator. Program and source code.
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-19
    • 文件大小:5230733
    • 提供者:tomi
  1. pn_generator.rar

    0下载:
  2. FPGA实现pn发生器,Verilog代码实现,另带modlesim的仿真测试文件,很有价值。,FPGA realization of pn generator, Verilog code, and the other with the simulation test modlesim documents of great value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2869
    • 提供者:胡佳
  1. Rand.rar

    1下载:
  2. 随机数生成类。封装c++的rand函数,用于生成均匀、正态、伽马、贝塔、泊松、指数、几何等分布规律的随机数。 内含生成各分布的算法源码。各分布可通过ts测试。,A random number generator class. it Packages the rand function of C++,and is used to generate uniform, normal, gamma, beta, Poisson, exponential, geometric and other dis
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-28
    • 文件大小:3951
    • 提供者:winxp
  1. u_trial.rar

    0下载:
  2. Control of induction generator,Control of induction generator
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:14530
    • 提供者:anush
  1. WTG_PF.rar

    1下载:
  2. 基于PQ解耦法的双馈风力发电机并网潮流计算,主要针对稳态情况下,PQ decoupled method based on doubly-fed wind generator grid power flow calculation, mainly for the steady-state case
  3. 所属分类:其他小程序

    • 发布日期:2014-01-09
    • 文件大小:22897
    • 提供者:dw
  1. code.rar

    1下载:
  2. matlab用于信号发生器产生波形,正弦波,方波等,matlab for the signal waveform generator, sine wave, square wave, etc.
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:13812
    • 提供者:毛名言
  1. wave.rar

    0下载:
  2. 波形发生器,Visual C++ 6.0、DDK和keil C51 uVision2 开发,含usb驱动,Waveform Generator, Visual C++ 6.0, DDK and keil C51 uVision2 development, with usb drive
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1485087
    • 提供者:sdfs
  1. MATLAB_sg_IP.rar

    0下载:
  2. 使用MATLAB为System Generator for DSP创建IP,The use of MATLAB for System Generator for DSP to create IP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:39953
    • 提供者:lxd
  1. Perlin-Noise-Generator

    0下载:
  2. 简单的Perlin噪声发生器:PeilinNoise函数生成,根据PerlinNoise生成高程图-Simple Perlin noise generator: PeilinNoise function generation, according to PerlinNoise elevation map generated
  3. 所属分类:DirextX

    • 发布日期:2017-03-29
    • 文件大小:1363
    • 提供者:
  1. AD-licence

    0下载:
  2. Altiumn Designer 许可证生成器-Altiumn Designer License generator
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-01
    • 文件大小:38761
    • 提供者:kouten
  1. wave

    0下载:
  2. 单片机89s51做的波形发生器,含PROTEUS仿真图,非常实用的波形产生源码!-89s51 do single-chip waveform generator, including PROTEUS simulation map, very useful source of the waveform generator!
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:52665
    • 提供者:李松
  1. sanjiaobo

    0下载:
  2. 幅值,频率可调的三角波发生器S-函数的实现-Amplitude, frequency adjustable triangular-wave generator to achieve S-function
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:8063
    • 提供者:天行健
  1. The-multiple-signals-generator

    0下载:
  2. 多路信号发生器的简单设计,可以帮助大学生的在校设计。-Simple multi-channel signal generator is designed to help students in school design.
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:2585
    • 提供者:阳阳
  1. ibm

    0下载:
  2. 生成测试集,要做数据挖掘的关联规则试验,少不了数据集,人工生成的数据集可以采用agrawal等人实现的一个数据集生成器,叫:IBM Quest Market-Basket Synthetic Data Generator-生成测试集
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-23
    • 文件大小:17742
    • 提供者:leo
  1. sine-generator

    0下载:
  2. 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:676053
    • 提供者:zzwuyu
  1. Dynamicmodelsimulationofdoubly-fedwindturbines

    0下载:
  2. 为满足在电网发生故障时风电机组需保持与电网连接并向系统不间断供电的要求,提出 了双馈风力发电机的动态模型、变流器模型、变流器保护及电网模型,以研究双馈风力发电机的暂 态特性.以1·5MW SUT-1500双馈风力发电机为原型,由一个无穷大电源等效电网模型,变流器模 型采用定子磁链的定向电流矢量控制方法,利用PSCAD软件对双馈电机的暂态特性进行仿真.仿 真结果表明,矢量控制能够实现双馈电机的有功、无功解耦,并可改善系统的低压穿越能力. -To meet the power f
  3. 所属分类:Other systems

    • 发布日期:2014-10-27
    • 文件大小:619890
    • 提供者:mengxianghua
  1. FPGA_Clk

    0下载:
  2. 基于Cyclone EP1C6240C8 FPGA的时钟产生模块。主要用于为FPGA系统其他模块产生时钟信号。采用verilog编写。 使用计时器的方式产生时钟波形。 提供对于FPGA时钟的偶数分频、奇数分频、始终脉冲宽度等功能。-Based on Cyclone EP1C6240C8 FPGA' s clock generator module. Is mainly used for the FPGA system clock signal generated in other
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1465971
    • 提供者:icemoon1987
  1. localRand

    0下载:
  2. 常用随机数发生器,C实现 /* * 文件包含了6个函数,它们能产生符合相应分布的规律的随机数: * GenUniformRnd : 产生一个随机数,符合均匀分布。(伪随机序列) * GenBernoulliRnd : 产生一个随机数,符合伯努利分布。 * GenBinomialRnd : 产生一个随机数,符合二项分布。 * GenPoissonRnd : 产生一个随机数,符合泊松分布。 * GenExponentRnd : 产生一个随机数,符合指数分布。
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1139
    • 提供者:lo
  1. lfsr

    0下载:
  2. 伪随机序列产生器-线性反馈移位寄存器,Verilog HDL 原代码。-Pseudo-random sequence generator- linear feedback shift register, Verilog HDL source code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-22
    • 文件大小:883
    • 提供者:李辛
« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com