CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - library

搜索资源列表

  1. Book

    0下载:
  2. 图书馆管理系统 程序开发环境: Visual Foxpro 6.0 - Library management system program development environment: Visual Foxpro 6.0
  3. 所属分类:Applications

    • 发布日期:2017-11-09
    • 文件大小:559056
    • 提供者:xpd
  1. TQFP-44-LQFP-44-package-library

    1下载:
  2. STC TQFP-44 LQFP-44封装库-TQFP-44 LQFP-44 package library
  3. 所属分类:Other Embeded program

    • 发布日期:2015-06-07
    • 文件大小:155648
    • 提供者:kitzhang
  1. LibrarymanagementsystemdesignVC++code

    0下载:
  2. Library management system design VC++ code 图书馆管理系统设计VC++代码-Library management system design VC++ Code library management system design VC++ Code
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-30
    • 文件大小:6976
    • 提供者:赫连
  1. C-library-

    0下载:
  2. 关于C语言标准库函数的说明,包涵文件,用法及返回-On the C language standard library functions that it covers documentation, use and return
  3. 所属分类:CSharp

    • 发布日期:2017-03-31
    • 文件大小:352248
    • 提供者:li yang
  1. C-language-library-function-Daquan

    0下载:
  2. C语言库函数大全 含有几乎所有的c语言库函数内容及解释-C language library function Daquan
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:404807
    • 提供者:hpp
  1. ddrsdram_verilog

    0下载:
  2. 内附doc是DDR SDRAM 参考设计文档;model包含SDRAM Verilog的模型;simulation包含verilog测试平台、modelsim工程文、设计库函数;source包含verilog源文件;synthesis包含工程的综合文件 。-Enclosing the doc is a DDR SDRAM reference design documentation model contains SDRAM Verilog model simulation with veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:752115
    • 提供者:陈少华
  1. gsl-1.8

    1下载:
  2. gsl1.8数学库,可以用来进行数值计算等。-gsl1.8 Math Library, can be used for numerical calculation.
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2015-07-02
    • 文件大小:6467292
    • 提供者:张无需
  1. test2

    0下载:
  2. 网上图书管理系统,包含借书还书查看状态订阅等简单的客户端图书管理 JSP编写,开发工具:NetBeans IDE 6.1-Online library management system, including borrowing and returning books view the status of subscr iptions and other simple JSP client written book management, development tools: NetBean
  3. 所属分类:Education soft system

    • 发布日期:2017-03-29
    • 文件大小:130206
    • 提供者:liang
  1. library-info-management

    0下载:
  2. 图书管理信息系统,B/S架构,C#开发,可作为毕业设计-Library management information system, B/S framework, C# development, could serve as a graduation project
  3. 所属分类:CSharp

    • 发布日期:2017-03-29
    • 文件大小:404876
    • 提供者:neal
  1. CPP-Library-Reference

    0下载:
  2. C++库详解,里面包括每个类的详细解释及运用-Detailed C++ library, which includes a detailed explanation of each class and use
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:1481182
    • 提供者:
  1. 2250_USB_Library_ISP_V1.3

    0下载:
  2. Sonix新出的MCU SN8F2255的USB的HID库。-Sonix new MCU SN8F2255 out the USB-HID library.
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:886466
    • 提供者:赵新
  1. MFC-Function-Library-Manual

    0下载:
  2. 本人辛苦收集珍藏的MFC函数库多个速查手册,全部为CHM单文件格式,非常方便携带与查找。-I am hard to collect more than one collection of MFC library Quick Reference, all as a single CHM file format, very easy to carry and look.
  3. 所属分类:Project Manage

    • 发布日期:2017-05-20
    • 文件大小:5778069
    • 提供者:Mr liu
  1. activemq-cpp-2.2.6-src.tar

    0下载:
  2. activemq cms 是总线结构通讯方式一种实现软件库。-activemq cms communication bus architecture is a software library.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-09
    • 文件大小:1025265
    • 提供者:dupeng
  1. Library

    0下载:
  2. 一个用java写的图书馆管理的软件,用到了MySql数据库,适合初学者参考学习java+sql的结合-A Library management program writen in java.For those who want to learn java+Sql.
  3. 所属分类:Java Develop

    • 发布日期:2017-04-07
    • 文件大小:634843
    • 提供者:haha
  1. RTL

    0下载:
  2. The RTL-ARM User s Guide contains detailed information about the components of the RTL-ARM Real-Time Library
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-12
    • 文件大小:2779715
    • 提供者:nimo
  1. orcad-lib

    0下载:
  2. 收集的部分orcad元件库,orcad元件库有越来越多的朋友下载,相信这个元件库也能给大家带来帮助-Part orcad library collection, orcad library download more and more friends, I believe the library can also give us some help
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2016-01-27
    • 文件大小:330752
    • 提供者:文石
  1. LCD-library

    1下载:
  2. 常用的LCD库,包括SED1335,SBN0064G,S6B0724,KS0108,SH1101A,SSD1306,c语言写的-These are libraries for LCD controllers ,SED1335,SBN0064G,S6B0724,KS0108,SH1101A,SSD1306,They are written in C
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:43148
    • 提供者:qaswd
  1. library

    0下载:
  2. 基于C语言的编程,图书馆管理系统,使用本程序前需要先附加数据库。-library manage system
  3. 所属分类:Education soft system

    • 发布日期:2017-05-02
    • 文件大小:727949
    • 提供者:zhaodahai
  1. matlab-cPP-math-library

    0下载:
  2. 一、安装matlab c++数学库 主要为matlabC/C++数学库 安装说明 1.将matlab文件夹复制到你的电脑上的c++builder安装目录下,可参考《Matlab C++应用开发》的第3.3节 2.双击intall.bat,根据提示操作-A, to install matlab c++ math library main the the matlabC/C++ math library Installation Instructions 1. The mat
  3. 所属分类:matlab例程

    • 发布日期:2013-01-25
    • 文件大小:5242741
    • 提供者:hu
  1. GIFImage Library 2

    0下载:
  2. GIFImage Library 2.rar
  3. 所属分类:图形图象

    • 发布日期:2012-11-13
    • 文件大小:489149
    • 提供者:coolhandy
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »
搜珍网 www.dssz.com