CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - lpm

搜索资源列表

  1. pinglvhecheng

    0下载:
  2. 程序用VHDL实现: 频率合成,DDS 主要调用LPM-procedures using VHDL : frequency synthesis, DDS major call LPM
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:145599
    • 提供者:刘赛
  1. LPM

    0下载:
  2. 12乘12的乘法器 采用adhl语言编写-12 x 12 multiplier used adhl language
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:11582
    • 提供者:谭斌斌
  1. LPM_canshu

    0下载:
  2. VHDL中LPM(参数化)库中文使用介绍-VHDL (parametric) on the use of Chinese
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:532722
    • 提供者:孙彬
  1. lpm_quick_guide

    0下载:
  2. altera公司的fpga期间的所有lpm模块的快速设计,涵盖了全部的lpm ip模块-altera during the fpga all lpm module rapid design, cover all the ip module lpm
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:532693
    • 提供者:江汉
  1. quartusGuide

    0下载:
  2. 设计输入 ! 多种设计输入方法 – Quartus II • 原理图式图形设计输入 • 文本编辑 – AHDL, VHDL, Verilog • 内存编辑 – Hex, Mif – 第三方工具 • EDIF • HDL • VQM – 或采用一些别的方法去优化和提高输入的灵活性: • 混合设计格式 • 利用LPM和宏功能模块来加速设计输入-design inpu
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:845530
    • 提供者:fgghh
  1. lpm

    0下载:
  2. 從網路取得關於自相關log-polar變換的實作。
  3. 所属分类:2D图形编程

    • 发布日期:2008-10-13
    • 文件大小:3052
    • 提供者:普娃兒
  1. Alter_ppt

    0下载:
  2. 这些ppt文件详细介绍了使用Altera公司FPGA芯片编程时的注意问题,包含时序、EBA、LPM库等,还有一些实用技巧供学习。对于使用Altera FPGA的同志会有帮助,但全部为英文编写,请注意。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2726136
    • 提供者:panyouyu
  1. LEDhanzigundong_VHDL

    0下载:
  2. 本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:186110
    • 提供者:wang
  1. VHDL.rar

    0下载:
  2. 教你在Quartus II中如何实用LPM库,对与FPGA系统设计有很好指导作用,Teach you how to Quartus II in the LPM utility library, with the FPGA system design have a very good guide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:351934
    • 提供者:钟桂东
  1. sine-generator

    0下载:
  2. 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:676053
    • 提供者:zzwuyu
  1. 13

    0下载:
  2. para13: fifo.vhd FIFO(双口RAM) fifo1.vhd FIFO(嵌入式EAB) fifo2.vhd FIFO(LPM)-para13: fifo.vhd FIFO (dual port RAM) fifo1.vhd FIFO (embedded EAB) fifo2.vhd FIFO (LPM)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3394
    • 提供者:libing
  1. LPM

    2下载:
  2. 用matlab绘制线性调频信号的时域波形,同时对信号进行频谱分析,并考察采样频率以及信噪比对频谱分析的影响。-Matlab drawing with linear frequency modulation signal time-domain waveform, and spectral analysis of signals and the sampling frequency and signal to noise ratio study of the impact of spectrum
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2013-10-17
    • 文件大小:3028
    • 提供者:holy0615
  1. TUS8.0

    0下载:
  2. TUS 8.0 LPM模块功能介绍中文版 功能齐全 速查-TUS 8.0 LPM
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-03
    • 文件大小:15226
    • 提供者:lwcxiaoxiao
  1. LPM

    0下载:
  2. lpm算法用最简单的数据结构,将所有规则按优先级降序排列为一个链表,一个分组与每一个规则一一比较-lpm algorithm is the most simple data structure, all the rules in descending order according to priority as a linked list, a packet with each rule 11 more
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-24
    • 文件大小:251037
    • 提供者:yangzhen
  1. IAR_MSP430_LPM

    0下载:
  2. MSP430 LPM SOURCE CODE FOR IAR
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-03
    • 文件大小:1086
    • 提供者:dyok
  1. LPM

    0下载:
  2. vhdl中LPM的应用编写完成程序,经实验验证没有错误!可以对学习LPM的同学起到引导作用。-vhdl in LPM application written procedure by experimental validation, no errors! Learning LPM students can play a guiding role.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:182926
    • 提供者:
  1. ehci-lpm

    0下载:
  2. linux系统上,EHCI HCD LPM支持代码。有需要的朋友可以下载!-linux system, EHCI HCD LPM support code. A friend in need can be downloaded!
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-11-04
    • 文件大小:913
    • 提供者:valleyi
  1. LPM

    0下载:
  2. 对LPM兆功能单元的lpm_fifo模块进行合理的参数设置,借助仿真手段分析输入、输出端口的功能,并进行简单的说明。-LPM module for lpm_fifo functional unit trillion reasonable set of parameters, with the simulation analysis capabilities means input and output ports, and a simple explanation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:9478
    • 提供者:李强
  1. cc1110-lpm-test

    0下载:
  2. CC1110的功耗测试程序,用来测试几种不同LPM模式下的功耗-CC1110 power test program as a basis for testing CC1110 power.
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:22221
    • 提供者:sony19900107
  1. 流水线乘法累加器设计

    0下载:
  2. 调用寄存器LPM,流水线加法器LPM,流水线乘法器LPM等模块实现一个8位流水线乘法累加器。(Call a register LPM, pipelined adder LPM, pipeline multiplier LPM and other modules to achieve a 8 bit pipelined multiplication accumulator.)
  3. 所属分类:其他

    • 发布日期:2018-05-06
    • 文件大小:961536
    • 提供者:墨染静然
« 12 3 4 »
搜珍网 www.dssz.com