CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - m序列产生器

搜索资源列表

  1. 6M

    0下载:
  2. matlab在系统辨识中的应用: 利用六级移位寄存器产生的M序列-Matlab system identification in the application : 6 shift register sequence of the M
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:903
    • 提供者:leo-sofy
  1. m_series

    0下载:
  2. 用移位寄存器产生M序列的MATLAB软件实现
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:39946
    • 提供者:david
  1. m_pn

    0下载:
  2. 在加密系统中,伪噪声序列(即PN序列)得到了广泛的应用,最常用的PN序列是最大长度线性码序列,又称为m序列,是由线性反馈移位器产生的,其特点是具有周期性和伪随机性。
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:1427
    • 提供者:
  1. all_kind_of_pn_coded_generator

    1下载:
  2. 包含了m序列 gold序列 kasami序列和ovsf码的所有伪码产生器
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1590
    • 提供者:vincnet
  1. interweave_1

    0下载:
  2. 用VHDL语言编写的实现交织编码和解交织功能的代码。交织采用按行写入,按列读出的方法实现。主要包括:信源信号产生(20位的m序列),交织器,解交织器。为实现流水线的操作,采用了两个交织器和两个解交织器,当一个写入数据的时候,另一个读出数据。-Implementation using VHDL language features Interleaved Coded deinterleave code. Intertwined with by line write, read out by colu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:37242
    • 提供者:李修函
  1. PRBS

    1下载:
  2. 用带反馈通道的移位寄存器产生PRBS序列信号。要选择合适的通道,经模二加法后进行反馈。在程序中,移位寄存器个数可从2到13中任意选择,其长度也可以自由选择。运行结果在vc++的运行环境中能看得很清楚,最后产生的M序列数据也存在相应的数据文件中。-Used with feedback channel shift register sequence generated PRBS signal. Please select the appropriate channels, by mode after
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:7373
    • 提供者:李明哲
  1. pn

    0下载:
  2. 通过移位寄存器的方法产生m序列。已编译好!-Produced by the method m shift register sequence. Has been compiled!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3127
    • 提供者:坚持
  1. fufenjieqi

    0下载:
  2. 基于FPGA的复分接器,包括了M序列码的产生,2路数据复接,数据分接(包括巴克码的判断)。-FPGA-based compound splitters, including M sequence code generation, 2 channel data multiplexing, data tap (including the Barker code to judge).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1509
    • 提供者:陈云
  1. jiaozhibianmaqi

    0下载:
  2. 基于单片机的交织编码器,采用汇编语言编写,用D触发器产生m序列。-Encoder based on single chip interleaving, using assembly language, using D flip-flop produces m sequence.
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:399542
    • 提供者:陈云
  1. gold1

    1下载:
  2. 最大线性反馈移位寄存器产生的m序列和m序列优选对构成的Gold序列,以及matlab仿真程序。-Maximum linear feedback shift register produces m sequence and optimization of the sequence of m Gold sequence, and constitutes matlab simulation program.
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:658
    • 提供者:颜媛
  1. m__sequence

    0下载:
  2. 用6级移位寄存器实现产生m序列,希望对各位有所帮助-Shift registers with a 6 m sequence generation, want to help you
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:701
    • 提供者:王勇
  1. mseq

    1下载:
  2. simulink下m序列的产生,使用5级移位寄存器,简单易懂-m sequence generation under simulink
  3. 所属分类:matlab

    • 发布日期:2015-06-02
    • 文件大小:7168
    • 提供者:bluekiller
  1. system-identification

    0下载:
  2. 系统辨识用matlab产生M序列,移位寄存器实现-System identification using matlab generate M sequence, the shift registers
  3. 所属分类:matlab

    • 发布日期:2017-03-24
    • 文件大小:15499
    • 提供者:wangzhen
  1. task1

    0下载:
  2. M序列脉冲响应辨识,系统辨识,采用5级移位寄存器产生M序列作为输入信号,辨识该系统的脉冲响应-M-sequence impulse response identification, system identification, a 5-stage shift register generates M sequence as the input signal, identify the system impulse response
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:1257
    • 提供者:雪中蝶
  1. msequence

    0下载:
  2. 利用线性反馈移位寄存器产生周期为2^n-1,任意长度的m序列-The linear feedback shift register cycle is 2 ^ n-1, any length m-sequences
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:1995
    • 提供者:南宫月
  1. RLS

    0下载:
  2. 仿真对象如下: 其中, v( k )为服从N (0,1) 分布的白噪声。输入信号u ( k) 采用M 序列,幅度为 1。M 序列由 9 级移位寄存器产生,x(i)=x(i-4)⊕x(i-9)。 选择如下辨识模型: 加权阵取Λ = I。 衰减因子β = 0.98,数据长度 L = 402。 辨识结果与理论值比较,基本相同。辨识结果可信 -he simulation object is as follows: among them, v (k) to obe
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-11-13
    • 文件大小:588
    • 提供者:张鹏
  1. M-sequences-

    0下载:
  2. 输入寄存器参数Cn和初始值An,运行程序,即可产生相应级数M序列-Generate arbitrary M-sequences
  3. 所属分类:LabView

    • 发布日期:2017-04-17
    • 文件大小:19865
    • 提供者:wangzhi
  1. m_sequence

    1下载:
  2. 一个简单的m序列产生函数,通过调用primpoly获得本原多项式,然后通过移位循环寄存器产生所需要的序列。自相关图形上能看到峰值旁瓣电平(PSL)和积累旁瓣电平(ISL)。-A simple code for generate m-series pseudo-random sequence based PRIMPOLY function which we get the prime polynomials first,then we get the feedback connect coeff
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:3979
    • 提供者:xzyee
  1. M-xulie

    0下载:
  2. 利用本原多项式产生m序列 ,m序列是最长线性移位寄存器序列的简称,是一种伪随机序列、伪噪声(PN)码或伪随机码。可以预先确定并且可以重复实现的序列称为确定序列 既不能预先确定又不能重复实现的序列称随机序列 不能预先确定但可以重复产生的序列称伪随机序列。-m xulie
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:459031
    • 提供者:van
  1. mcode

    0下载:
  2. 附有m码产生verilog文件和测试文件,以及详细说明。读者可根据说明配置任意级m序列发生器(With M code, Verilog files and test files are produced and detailed. The reader can configure an arbitrary m sequence generator according to the instructions)
  3. 所属分类:其他

« 1 23 »
搜珍网 www.dssz.com