CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pong

搜索资源列表

  1. DSPimagebuffer

    0下载:
  2. dsp在图像处理时的缓冲存储机制以及乒乓缓冲区的建立方法-dsp used in image processing ,about how to build a PING-PONG buffer in the dsp memory
  3. 所属分类:Special Effects

    • 发布日期:2017-04-02
    • 文件大小:309900
    • 提供者:小华
  1. PingPong

    0下载:
  2. Ping pong sound horrible.. it s best game ever-Ping pong sound horrible.. it s best game ever..
  3. 所属分类:Other Games

    • 发布日期:2017-04-07
    • 文件大小:12118
    • 提供者:vishal
  1. Easypong

    0下载:
  2. EAsy pong.. The most thrill game-EAsy pong.. The most thrill game..
  3. 所属分类:Other Games

    • 发布日期:2017-04-06
    • 文件大小:130221
    • 提供者:vishal
  1. Pong_src

    0下载:
  2. simple delphi pong game
  3. 所属分类:Shot Game

    • 发布日期:2017-04-17
    • 文件大小:279400
    • 提供者:Jay
  1. tr24_pp

    0下载:
  2. Two module TR24B(EM198810) + ATMega8L Ping Pong-Two module TR24B(EM198810)+ ATMega8L Ping Pong
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-26
    • 文件大小:2881
    • 提供者:Alex
  1. func_oevelse

    0下载:
  2. A game with a moveable bar like in ping-pong. Orbs fall down and u need to have 1 color hit u, and another color not hit u. if u get the right color u get +1 point, if a wrong color hits u, u get -1 point and the bar disappears for a sec. Using Enter
  3. 所属分类:Other Games

    • 发布日期:2017-03-31
    • 文件大小:7990
    • 提供者:tmaneo
  1. pong_00

    0下载:
  2. flash pong simple game
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-03-29
    • 文件大小:2662
    • 提供者:uiuuuuui
  1. pingpong

    0下载:
  2. 在DE2开发板上实现的一个简单乒乓球的程序。开发语言verilog-In the DE2 development board to achieve a simple ping-pong process. Development language verilog
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:2209326
    • 提供者:xiaowant
  1. XNA

    0下载:
  2. XNA学习笔记,共10章,XNA的介绍,创建一个简单的游戏pong,辅助类,游戏组件,XNA图形引擎,管理shader-XNA study notes, a total of 10 chapters, XNA presentation, create a simple game pong, auxiliary classes, the game components, XNA graphics engine, manage shader and so on
  3. 所属分类:Document

    • 发布日期:2017-05-17
    • 文件大小:4919931
    • 提供者:dragon
  1. csharpfr_PING-BONG-MON-PREMIER-JEU-PONG___Page.zi

    0下载:
  2. the game ping pong in the langage of java
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-03-26
    • 文件大小:21139
    • 提供者:nadia
  1. A_Pong_Game_System

    0下载:
  2. pong game is used to maintain the library in the school or college
  3. 所属分类:JavaScript

    • 发布日期:2017-04-16
    • 文件大小:114313
    • 提供者:veen
  1. pingpang

    0下载:
  2. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:134550
    • 提供者:wzh6328
  1. C6000_EDMA_development_kit

    0下载:
  2. TI的C6000的EDMA编程示例程序库,包含块搬移、乒乓搬移、触发式搬移等多个例程,并附有详细的说明文档,给用户开发DMA带来很大方便,稍作修改即可用在自己的程序中-TI' s C6000 examples of EDMA programming library, including the block moving, moving ping-pong, trigger-type move and many other routines, together with detailed d
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:839541
    • 提供者:Jerry
  1. simulationoftabletennisgame

    0下载:
  2. 乒乓球游戏机是模拟乒乓球比赛的过程和规则,并能自动裁判和记分的模拟装置。两人乒乓游戏机是用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动,在游戏机的两侧个设置发球和击球开关,甲乙双方按乒乓球比赛规则来操作开关。设置自动记分电路,甲、乙双方各用7段译码管进行记分显示,每计满21分为1局,然后记分清零,重新开始新一局比赛。 -Table tennis table tennis game is a simulation of the proc
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:152680
    • 提供者:张倩
  1. PingPong

    0下载:
  2. Ping-Pong game, with fancy main menu :) OpenGL/SDL
  3. 所属分类:Other Riddle games

    • 发布日期:2017-05-13
    • 文件大小:3428879
    • 提供者:udp11
  1. PongBeans

    0下载:
  2. An example about Pong in J2ME with MIDP 1.0 very easy and great application
  3. 所属分类:J2ME

    • 发布日期:2017-04-13
    • 文件大小:2809
    • 提供者:AqU1LeS
  1. edma1

    0下载:
  2. 基于DSP平台的EDMA实现数据的PINGPONG操作,采用了2D数据传输模式-use edma
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-02
    • 文件大小:20506
    • 提供者:王新
  1. TrackPingPongMotion

    0下载:
  2. 基于MATLAB,实现乒乓球的定位运动跟踪-Based on matlab, tracking ping pong motion
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:1216164
    • 提供者:wshrf
  1. AlienPongHardCopy

    0下载:
  2. Alien Pong game made with xna.net.
  3. 所属分类:Other Games

    • 发布日期:2017-05-21
    • 文件大小:6187583
    • 提供者:redknight1984
  1. FPGA_car_drive

    0下载:
  2. 基于EasyFPGA030的模拟乒乓比赛设计.-Simulation-based ping-pong game EasyFPGA030 design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:595477
    • 提供者:pudn_qq5
« 1 2 3 4 5 6 78 9 10 11 12 13 »
搜珍网 www.dssz.com