CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ppm

搜索资源列表

  1. PPM-UWB-using-pswf-pulse-group

    1下载:
  2. 基于椭圆球面波脉冲组的多进制PPM-UWB系统-M-ary PPM-UWB systems using pswf pulse group
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:328770
    • 提供者:刘林
  1. PPM

    0下载:
  2. 基于matlab的UWB的BPSK与PPM调制程序-Program based on the matlab UWB BPSK and PPM modulation
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:10167
    • 提供者:lomg
  1. TH-PPM-UWB

    0下载:
  2. TH PPM UWB 基于对跳时序列的超宽带代码-TH PPM UWB
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-28
    • 文件大小:23840
    • 提供者:dongbiao
  1. PPM

    0下载:
  2. PPM编码 PPM的编解码方式一般是使用积分电路来实现的,而PCM编解码则是用模/数(A/D)和数/模(D/A)转技术实现的。 -PPM encoding PPM codec integral circuit, the PCM codec with analog/digital (A/D) and digital/analog (D/A) transfer technology.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:79788
    • 提供者:陳秋
  1. ppm

    0下载:
  2. ppm文件操作.包括文件读取,解码,编码.-ppm file read, decode, and encode.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-14
    • 文件大小:439431
    • 提供者:rtt5588
  1. ppm

    0下载:
  2. ppm调制的verilog代码 可实现ppm调制-ppm modulation verilog code ppm modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:836
    • 提供者:yanfeng
  1. PPM

    1下载:
  2. ppM解码C51程序,中断口0输入脉冲信号.-keilc TO PPM
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-26
    • 文件大小:1054057
    • 提供者:劉先生
  1. Java-read-bmp-pgm-ppm-picture

    0下载:
  2. java支持的图片格式有jpg、png、gif。本代码提供了bmp、pgm、ppm图像文件的读入,是《数字图像处理-java编程与实验》书上代码,仅供参考,允许非商业的借鉴。-Java support image formats have JPG, PNG, GIF. This code provides BMP, PGM, PPM image file to read, it is the digital image processing- Java programming and exper
  3. 所属分类:JSP源码/Java

    • 发布日期:2017-11-02
    • 文件大小:11953
    • 提供者:liuxiaolong
  1. PPM-TH-UWB

    2下载:
  2. 该仿真实验展示了PPM-TH-UWB的整个发射链路。包括二进制源,重复编码器,发送编码和PPM调制器,以及脉冲形成器。-The simulation shows the entire launch of the PPM-TH-UWB link. Including a binary source, the encoder is repeated, the transmission encoding and PPM modulator, and the pulse shaper.
  3. 所属分类:matlab

    • 发布日期:2017-11-14
    • 文件大小:3227
    • 提供者:Coke
  1. ppm-th-uwb

    0下载:
  2. ppm uwb 发射程序 包括二进制代码 th 调制 脉冲形成等多个模块-ppm uwb launch procedures
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-11-24
    • 文件大小:4682
    • 提供者:鲁滨逊
  1. PPM-Controler-C51

    0下载:
  2. 遥控设备使用PPM编码模式驱动舵机,本程序模拟PPM形式对舵机进行检测,可测试舵机偏转。程序对应标准C51单片机。-Remote control devices using PPM encoding mode driver steering, the program simulates the form of servo PPM detects testable steering deflection. Corresponds to the standard procedures C51 mic
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:941
    • 提供者:赵小飞
  1. ppm

    0下载:
  2. 用keil开发的 并不是完全原创 是用来捕捉航模遥控器的PPM 信号的 用单片机-With keil development is not completely original remote control model aircraft is used to capture the PPM signal MCU
  3. 所属分类:SCM

    • 发布日期:2017-11-22
    • 文件大小:28133
    • 提供者:lijun
  1. ppm

    0下载:
  2. C++ program which converts binary Portable Pixel Map (PPM) files to BMP files.
  3. 所属分类:OpenGL program

    • 发布日期:2017-04-13
    • 文件大小:1940
    • 提供者:agus
  1. PPM

    0下载:
  2. PPM 编码器 能实现相关编码功能 内附仿真文件和仿真报告-PPM encoder encoding function to achieve the relevant documents containing simulation and simulation reports
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:455529
    • 提供者:rocky
  1. ic-ppm

    0下载:
  2. PPM数据编码,设计,包含数据帧头和帧尾,输出数据以波形形式输出.-PPM data encoding, an output waveform generator design, including the header and trailer data, output data in wave form
  3. 所属分类:Other systems

    • 发布日期:2016-01-24
    • 文件大小:1024
    • 提供者:袁苗
  1. PPM

    0下载:
  2. PPM程序设计用VHDI语言编写,简单易懂-PPM programming with VHDI language, easy to understand
  3. 所属分类:Compiler program

    • 发布日期:2017-04-25
    • 文件大小:11618
    • 提供者:张超
  1. PPM

    0下载:
  2. 模型遥控器的PPM解码程序,可以对PPM格式输出的遥控器做解码,并得到脉冲宽度的C语言程序。-PPM model remote control decoding procedure, you can format the output PPM remote control to do the decoding, and get the pulse width of the C language program.
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1335
    • 提供者:木子
  1. PPM

    0下载:
  2. PPM调制,已经证明是好使的,使用Verilog编程,放心使用-PPM Verilog
  3. 所属分类:Voice Compress

    • 发布日期:2017-05-20
    • 文件大小:5949325
    • 提供者:焦艳华
  1. PPM

    0下载:
  2. PPM调制程序,keil4书写的,数据口为P1^0,单片机使用的是STC12C5A60S2,晶振为12M。-PPM modulation process, keil4 writing, data port is P1 ^ 0, MCU is STC12C5A60S2, the crystal is 12M.
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:31117
    • 提供者:邓枫海
  1. PPM-Signal-Reader-ARDUINO-master

    0下载:
  2. PPM READER RC CONTROL
  3. 所属分类:Other systems

    • 发布日期:2017-06-11
    • 文件大小:17561660
    • 提供者:Ett
« 1 2 3 4 56 7 8 9 10 ... 42 »
搜珍网 www.dssz.com