CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - prescaler

搜索资源列表

  1. STM32+TIM.rar

    0下载:
  2. 本例展示了如何设置TIM工作在输出比较-非主动模式(Output Compare Inactive mode),并产生相应的中断。 TIM2时钟设置为36MHz,预分频设置为35999,TIM2计数器时钟可表达为: TIM2 counter clock = TIMxCLK / (Prescaler +1) = 1 KHz 设置TIM2_CCR1寄存器值为1000, CCR1寄存器值1000除以TIM2计数器时钟频率1KHz,为1000毫秒。因此,经过1000毫秒的时延,置PC.06
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:149486
    • 提供者:chen
  1. code

    1下载:
  2. msp430G2553,单片机,基于grace,轻松实现片内AD测量电压值,WDT,串口发送,通过串口控制程序,串口及时显示测量电压值,Timer0,Timer1的,综合程序,是电子竞赛预备题。-msp430G2553, SCM, grace-based, easily measure the voltage value, WDT, the serial port to send on-chip AD and control procedures through the serial port,
  3. 所属分类:SCM

    • 发布日期:2014-11-03
    • 文件大小:132096
    • 提供者:陈宏
  1. div_clk

    0下载:
  2. 主时钟为15.36MHz的带选通的8位输出分频器,可得到100Hz,120Hz,1kHz,10kHz的频率-Master clock for the 15.36MHz band strobe output 8-bit prescaler, can be 100Hz, 120Hz, 1kHz, 10kHz frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1148
    • 提供者:wangyongbing
  1. div5

    0下载:
  2. 利用VHDL语言描述的5分频器(改变程序中m1,m2值,可作为任意奇数分频器)-The use of VHDL language is described in 5 prescaler (change procedure m1, m2 value, can be used as arbitrary odd prescaler)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:253601
    • 提供者:zfc
  1. fen1to7

    0下载:
  2. 这是我在ISP编程实验中独立编写的一个采用行为描述方式实现的分频器,通过两个并行进程对输入信号CLK进行8分频,占空比为1:7-This is my ISP programming experiment in the preparation of an independent descr iption of the use of behavior to achieve the prescaler, through two parallel processes on the input signa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:27848
    • 提供者:daisichong
  1. Example1

    0下载:
  2. 本例展示了如何利用外设TIM2来产生四路频率不同的信号。 TIM2时钟设置为36MHz,预分频设置为2,使用输出比较-翻转模式(Output Compare Toggle Mode)。 TIM2计数器时钟可表达为:TIM2 counter clock = TIMxCLK / (Prescaler +1) = 12 MHz 设置TIM2_CCR1寄存器值为32768,则CC1更新频率为TIM2计数器时钟频率除以CCR1寄存器值,为366.2 Hz。因此,TIM2通道1
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:146911
    • 提供者:chen
  1. SHUZIMIAOBIAO

    0下载:
  2. 秒表的逻辑结构比较简单,它主要由、显示译码器、分频器、十进制计数器、报警器和六进制计数器组成。在整个秒表中最关键是如何获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需要一个启动信号和一个归零信号,以便能够随时启动及停止。 秒表有六个输出显示,分别为百分之一秒,十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之对应,6个个计数器全为BCD码输出,这样便于同时显示译码器的连接。当计时达60分钟后,蜂鸣器鸣响10声。 -Stopwatch logical structure is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6156
    • 提供者:朱书洪
  1. fpga1223344

    0下载:
  2. 基于FPGA的分频器,可以根据更改参数,实现不同倍数的分频.-FPGA-based prescaler, can change the parameters, different multiples of the sub-frequency.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:16758
    • 提供者:张大明
  1. shuzizhongdianlu

    0下载:
  2. 利用计数器和分频器设计一个实时的时钟。一共需要1个模24计数器、2个模6计数器、2个模10计数器、一个生成1Hz的分频器和6个数码管解码器。最终用HEX5~HEX4显示小时(0~23),用HEX3~HEX2显示分钟(0~59),用HEX1~HEX0显示秒钟(0~59)。 -The use of counters and prescaler design a real-time clock. Mold needs a total of 24 counters, 2 Die 6 counters,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1043
    • 提供者:linyao
  1. ch5_8

    0下载:
  2. 用VHDL写的一个5/8分频器,希望对刚学习VHDL的朋友有帮助-Use VHDL to write a 5/8 prescaler, and they hope to study VHDL friends just have to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:144567
    • 提供者:陈阿水
  1. fpq

    0下载:
  2. ISP实验分频器源程序,用VHDL写的,在x3s200an芯片上编译的-ISP prescaler source experiment, using VHDL written in compiled x3s200an chip
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:811
    • 提供者:ylh
  1. fenping

    0下载:
  2. 介绍了各种分频器的设计,VHDL描述。包括偶数分频器,奇数分频器,办整数分频器-Introduce the design of a variety of crossovers, VHDL descr iption. Including even-numbered divider, prescaler odd, do integer divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:188449
    • 提供者:wumingxing
  1. OddFP

    0下载:
  2. verilog实现的奇数分频器 针对任何规模的奇数分频-verilog prescaler for the realization of the odd-numbered odd-numbered points of any size-frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:578
    • 提供者:李丹
  1. fenpinqi

    0下载:
  2. 此文件为EDA的8位分频器,但可以用于不同位分频器,如:1位到10位等,用Quartus软件来,以文件VHD格式编译即可-This document is for EDA 8-bit prescaler, the prescaler can be used in different places, such as: 1-10 and so on, using Quartus software to VHD format file can be compiled
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:119235
    • 提供者:ni yeye
  1. fdiv

    0下载:
  2. 基于Quartus II的数控分频器的项目设计,实现对时钟信号的任意进制分频,包含了项目文件和VHDL源代码-NC-based prescaler Quartus II project design, implementation of the clock signal of arbitrary frequency band, including the project files and VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:183739
    • 提供者:xiexuan
  1. div8

    0下载:
  2. 分频系数为8,分频输出信号占空比为50 的分频器-Frequency factor of 8, sub-frequency output signal duty cycle to 50 of the prescaler
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:108397
    • 提供者:Moskey
  1. ab

    0下载:
  2. 能实现2分之1分频器,4分之1分频器,8分之1分频器等功能-To achieve half divider, prescaler fourth, eighth divider functions
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:3695
    • 提供者:fuxuanbo
  1. FPGA5

    0下载:
  2. 此为用VHDL语言基于FPGA开发板的分频器源程序-This is the FPGA using VHDL language development board based on the source of the prescaler
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:346725
    • 提供者:mindy
  1. prescaler

    0下载:
  2. Source code to program precaler LMX2322 with microcontroller ATmega8
  3. 所属分类:Other systems

    • 发布日期:2017-03-24
    • 文件大小:22397
    • 提供者:Tillman
  1. Prescaler-to-use-VHDL-design

    0下载:
  2. 本文使用实例描述了在 FPGA/CPLD 上使用 VHDL 进行分频器设计,包括偶数分频、非 50 占空比和50 占空比的奇数分频、半整数(N+0.5)分频、小数分频、分数分频以及积分分频。所有实现均可通过 Synplify Pro 或 FPGA 生产厂商的综合器进行综合,形成可使用的电路,并在 ModelSim 上进行验证。-This paper describes the use of examples prescaler to use VHDL design on FPGA/CPLD, i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:340069
    • 提供者:liufei
« 12 3 »
搜珍网 www.dssz.com