CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartus modelsim vhdl

搜索资源列表

  1. Des2Sim

    0下载:
  2. 本文介绍了一个使用 VHDL 描述计数器的设计、综合、仿真的全过程,作为我这一段 时间自学 FPGA/CPLD 的总结,如果有什么不正确的地方,敬请各位不幸看到这篇文章的 大侠们指正,在此表示感谢。当然,这是一个非常简单的时序逻辑电路实例,主要是详细 描述了一些软件的使用方法。文章中涉及的软件有Synplicity 公司出品的Synplify Pro 7.7.1; Altera 公司出品的 Quartus II 4.2;Mentor Graphics 公司出品的 ModelSim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1945930
    • 提供者:黄鹏曾
  1. Quartus+II+++ModelSim+SE+++后仿真+++库文件.rar

    0下载:
  2. Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。
  3. 所属分类:其它

    • 发布日期:2009-09-01
    • 文件大小:1009119
    • 提供者:t613@163.com
  1. sram

    0下载:
  2. sram操作vhdl源程序,内有sdram模型,控制器设计,及测试源程序-sram operating in vhdl \doc DDR SDRAM reference design documentation \model Contains the vhdl SDRAM model \route Contains the Quartus 2000.05 project files a routed controller design \simulation
  3. 所属分类:MPI

    • 发布日期:2017-03-31
    • 文件大小:896728
    • 提供者:chen
  1. UART

    0下载:
  2. 语言:verilog语言 功能:通过串口控制模块,实现FPGA与串口 通信。 仿真环境:modelsim 综合环境:quartus -Language: verilog language function: through the serial port control module, FPGA and serial communication. Simulation Environment: modelsim integrated environment: quartu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:64207
    • 提供者:huangjiaju
  1. QuartusIIandModelSim

    0下载:
  2. 本文主要描述了如何在QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过 MODELSIM进行功能仿真与后仿真的过程,主要为图解,含全部代码及仿真波形。 -This article describes how to enter at QUARTUS II program file, generate netlists and standard delay file, and then through the ModelSim for functional simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:277929
    • 提供者:朱雯
  1. HuaWei_FPGA_Design

    0下载:
  2. 华为FPGA设计流程说明 由于目前所用到的FPGA器件以Altera的为主,所以下面的例子也以Altera为例,工具组合为 modelsim + LeonardoSpectrum/FPGACompilerII + Quartus,但原则和方法对于其他厂家和工具也是基本适用的。-Huawei FPGA design flow as a result of the current devices used to Altera' s FPGA-based, so the following
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:32072
    • 提供者:qinzhan
  1. Stepper_controller_MAx

    0下载:
  2. stepper motor controller vhdl and verilog code is given with explainintion testbench in verilog quartus and modelsim implementation is also awailable -stepper motor controller vhdl and verilog code is given with explainintion testbench in verilog qu
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:76691
    • 提供者:pravin
  1. uart_read_send

    1下载:
  2. uart自收发的vhdl实现,包括quartus工程文件及modelsim仿真工程文件(调试通过)-uart vhdl from the transceiver to achieve, including the quartus project file and modelsim simulation project file (debugged)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-06-17
    • 文件大小:417903
    • 提供者:binbin
  1. LCD_DISPLAY

    0下载:
  2. lcd显示的VHDL实验,包括quartus工程文件及modelsim仿真文件-lcd display VHDL experiments, including the quartus project file and modelsim simulation file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:424262
    • 提供者:binbin
  1. PN4

    0下载:
  2. 语言:VHDL 功能:该PN4序列的特点为将一个4位序列的前两位取异或,再让序列左移一位,用异或的结果作为序列的最后一位。序列周期是15,即15位伪随机序列。其中包括序列的产生模块和检测模块。对于误码检测,首先捕获相位。然后,规定测试的码的总个数,统计这些码中有多少个不能满足PN序列特点的,用计数器统计个数。如果发现误码过多,可能是相位失调,重新捕获相位,再进行误码检测。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4429
    • 提供者:huangjiaju
  1. SRAM

    0下载:
  2. 语言:VHDL 功能:利用VHDL编程,实现FPGA对SRAMIS61LV24516的读写操作。由于是针对IS61LV24516型号进行读写的,如果不是此型号的SRAM需要对程序进行时序修改。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function: the use of VHDL programming, FPGA on SRAMIS61LV24516 read and write operations. Because it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1463
    • 提供者:huangjiaju
  1. I2C

    0下载:
  2. 语言:verilog 功能:用Verilog HDL编写的I2C主机串行通信的程序。两条总线线路:一条串行数据线 SDA, 一条串行时钟线 SCL;串行的 8 位双向数据传输位速率在标准模式下可达 100kbit/s,快速模式下可达 400kbit/s ,高速模式下可达 3.4Mbit/s;在数据传输过程中,当时钟线为高电平时,数据线必须保持稳定。如果时钟线为高电平时数据线电平发生变化,会被认为是控制信号。 仿真工具:modelsim 综合工具:quartus -Language:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:8160
    • 提供者:huangjiaju
  1. shft_reg

    0下载:
  2. 移位寄存器的VHDL语言实现,quartus 和 modelsim 仿真-Shift register VHDL language quartus and modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:4372202
    • 提供者:金浩强
  1. clock

    0下载:
  2. 数字计时器的vhdl实现,quartus 和 modelsim 仿真-Digital timer vhdl achieve quartus and modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:4528084
    • 提供者:金浩强
  1. comprator_str_miley

    0下载:
  2. vhdl comprator and miley version that can simulate ans synthesis in all aoftwares like modelsim and quartus and ise
  3. 所属分类:Compiler program

    • 发布日期:2017-11-16
    • 文件大小:1033
    • 提供者:cyrus3000
  1. myfir

    0下载:
  2. VHDL设计的FIR滤波器,有Matlab设计文件,Quartus II工程以及Modelsim仿真结果和说明文件-VHDL design FIR filters, Matlab design documents, Quartus II project and Modelsim simulation results and documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2861267
    • 提供者:fangying
  1. hough_5289

    0下载:
  2. hough变换的vhdl程序设计,测试没有任何问题,可以执行,开发工具quartus,modelsim-hough transform with fpga and vhdl ,good tested and you can use it happily
  3. 所属分类:Graph Recognize

    • 发布日期:2015-07-14
    • 文件大小:5410816
    • 提供者:dragon_w_123
  1. DDSN

    0下载:
  2. quartus II 13.0 DDS工程文件,采用VHDL编写,可输出正交两路正弦信号。可以直接用modelsim-alter 仿真-quartus II 13.0 DDS project file, using VHDL written two orthogonal sinusoidal output signals. Can be simulated directly modelsim-alter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1874383
    • 提供者:连天
搜珍网 www.dssz.com