CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - shift and add multiplier vhdl

搜索资源列表

  1. multiplyingunit

    0下载:
  2. 其乘法器原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位-Its multiplier principle is: the sum of multiplication through each shift principle to achieve, from the lowest bit multiplicand to start, if 1, then the multiplier on the l
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:137159
    • 提供者:张华
  1. mul

    0下载:
  2. 加法器树乘法器结合了移位相加乘法器和查找表乘法器的优点。它使用的加法器数目等于操作数位数减 1 ,加法器精度为操作数位数的2倍,需要的与门数等于操作数的平方。 因此 8 位乘法器需要7个15位加法器和64个与门-Adder tree multiplier multiplier combination of shift and add multiplier advantage of look-up table. It uses the adder operand is equivalent to
  3. 所属分类:Other systems

    • 发布日期:2017-03-23
    • 文件大小:565
    • 提供者:肖毅
  1. 8multipler

    0下载:
  2. 用VHDL实现8位移位相加乘法器,从被乘数的最低位开始,若为1,则乘数左移后与上次的和相加;若为0,左移后以全0相加,直至被乘数的最高位。-VHDL 8-bit shift by adding the multiplier to achieve, starting from the lowest multiplicand, if 1, then left after the multiplier and add the last if 0, left after adding all 0, u
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1268
    • 提供者:ruanxioafei
  1. 34105908-Multipliers-Using-Vhdl

    0下载:
  2. ABSTRACT: Low power consumption and smaller area are some of the most important criteria for the fabrication of DSP systems and high performance systems. Optimizing the speed and area of the multiplier is a major design issue. However, area and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:380321
    • 提供者:phitoan
搜珍网 www.dssz.com