CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - uart quartus

搜索资源列表

  1. uart

    0下载:
  2. VHDL编写的异步通信串行口设计用Quartus工具编译
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:213116
    • 提供者:朱兆斌
  1. nios_uart程序

    2下载:
  2. 该quartus的基于SOPC串口程序经过调试成功,对NIOS的初学者很有用。
  3. 所属分类:文档资料

    • 发布日期:2009-04-28
    • 文件大小:312320
    • 提供者:angleboy
  1. uart

    0下载:
  2. Verilog实现串口收发数据,包括整个quartus工程-Verilog serial port to send and receive data, including the whole quartus project
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:561290
    • 提供者:王伟
  1. uart

    1下载:
  2. uart的vhdl实现,包含完整quartus工程文件,相信会有较大帮助-uart vhdl quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:212849
    • 提供者:Carlin
  1. UART

    0下载:
  2. 语言:verilog语言 功能:通过串口控制模块,实现FPGA与串口 通信。 仿真环境:modelsim 综合环境:quartus -Language: verilog language function: through the serial port control module, FPGA and serial communication. Simulation Environment: modelsim integrated environment: quartu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:64207
    • 提供者:huangjiaju
  1. UART

    3下载:
  2. 使用Quartus ii软件,编程语言为Verilog语言,实现UART通信协议,FPGA的时钟信号为50MHz-Using the Quartus ii software, programming languages Verilog, UART communication protocol implementation, FPGA 50MHz clock signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-06-17
    • 文件大小:1435648
    • 提供者:冰色火焰
  1. UART

    0下载:
  2. 實作UART 介面 4 byte 傳送 或 4 byte 接收 開發環鏡 quartus 且 附模擬檔-4 byte real interfaces for UART transmission or 4 byte receive loop mirror quartus and the development of simulation files attached
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1055943
    • 提供者:許大頭
  1. uart_read_send

    1下载:
  2. uart自收发的vhdl实现,包括quartus工程文件及modelsim仿真工程文件(调试通过)-uart vhdl from the transceiver to achieve, including the quartus project file and modelsim simulation project file (debugged)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-06-17
    • 文件大小:417903
    • 提供者:binbin
  1. uart

    0下载:
  2. uart IP CORE Verilog quartus-uart IP CORE Verilog quartusii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:37229
    • 提供者:thegreeneyes
  1. uart

    0下载:
  2. 基于VHDL语言的fpga uart 口通讯的源程序,经验证可用,开发环境Quartus -VHDL UART QUARTUS II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3824
    • 提供者:谢家
  1. demo7-uart

    0下载:
  2. quartus 串口程序 可以通过开发板的串口对FPGA进行读写操作-the quartus serial program can development board through the serial port on the FPGA to read and write operations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:474463
    • 提供者:邢卫华
  1. uart

    0下载:
  2. uart串口通讯,波特率任意可调,采用vhdl语言编写,ise和quartus均可使用-uart serial communication baud rate of any adjustable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:3182
    • 提供者:常飞
  1. uart

    0下载:
  2. 一个在Quartus 12.0 Web版下做的Uart收发例子,具备基本的收发功能。-Uart transceivers example, with a in Quartus 12.0 Web version under the basic functions of the transceiver.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1105379
    • 提供者:赵成龙
  1. UART-VHDL-QUARTUS

    0下载:
  2. uart vhdl quartus for altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:212849
    • 提供者:gilang
  1. uart

    0下载:
  2. quartus平台下实现串口IO收发功能模块。可以直接使用,有需要的参考一下。-The quartus platform to achieve the serial IO transceivers functional modules. Can be used directly, there is a need reference.
  3. 所属分类:Other systems

    • 发布日期:2017-11-22
    • 文件大小:75861
    • 提供者:shape
  1. UART

    0下载:
  2. 基于quartus ii 11.0与nios ii 11.0 串口通信-Serial communication based on II quartus 11 and II NIOS 11
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:594853
    • 提供者:wang
  1. uart

    0下载:
  2. 本例程是用verilog硬件描述语言在quaryusII环境下开发的串口通信模块,分为发送模块,接受模块和波特率产生模块。-This routine is verilog hardware descr iption language development environment under quartus II serial communication module, divided into send module, receive module and baud rate generato
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:276749
    • 提供者:PrudentMe
  1. UART

    0下载:
  2. 用Verilog实现的全局异步接收发送机,在quartus平台测试成功。(Use Verilog implementation of global asynchronous receive transmitter in quartus platform test successfully)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:283648
    • 提供者:莫五张
  1. uart程序_quartus_verilog

    0下载:
  2. 该程序实现uart串口收发数据,按照通信数据格式,代码编写规范,实现fpga中uart通信功能。(The program realizes the UART serial transceiver data, according to the communication data format, code specification, to achieve UART communication function in fpga.)
  3. 所属分类:串口编程

    • 发布日期:2018-01-02
    • 文件大小:1024
    • 提供者:小阳子
  1. uart

    0下载:
  2. UART接口的基于FPGA芯片,用Verilog语言实现,在quartus上操作(UART interface is implemented by FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 »
搜珍网 www.dssz.com