CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog fsm testbench

搜索资源列表

  1. verilog_example

    0下载:
  2. 九个verilog源码例子,包括寄存器,状态机等,含testbench-9 verilog source code examples, including registers, state machines, with testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-06-21
    • 文件大小:5964
    • 提供者:楚寒
  1. Fsm

    0下载:
  2. 基于verilog的FSM设计,设计“101001”的序列检测器;包括testbench文件-The FSM based verilog design, design " 101001" sequence detector including testbench files
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:682
    • 提供者:Paul
搜珍网 www.dssz.com