CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 八位加法器

搜索资源列表

  1. adder8b.rar

    0下载:
  2. 用VHDL设计一个八位并行加法器,该八位并行加法器是有两个四位二进制并行加法器通过级联而成,先设计两个四位二进制并行加法器分别表示八位数中的低四位和高四位以及其加法(含进位),再将两个四位并行加法器级联成一个八位并行加法器。这种方法原理简单,资源利用率和进位速度方面都比较好。,VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:189838
    • 提供者:赵祥
  1. 123654vhaing

    0下载:
  2. 八音自动播放电子琴设计 vhdl源码,文件内有具体注释 [VHDL-XILINX-EXAMPLE26.rar] - [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9- -Octave electronic keyboard play aut
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:231894
    • 提供者:杨领超
  1. testZ

    0下载:
  2. 八位加法器的原理图实现方法和一位半加器 全加器的原理图实现-Eight adder schematic diagram of the method and a half adder full adder schematic diagram of the realization of
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:274155
    • 提供者:miracle
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进位Cin相加赋给SINT,并将SINT的低4位赋给加数和S输
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:8994
    • 提供者:SAM
  1. adder

    0下载:
  2. VHDL语言八位加法器编程 原理图 PCB
  3. 所属分类:Graph program

    • 发布日期:2017-04-06
    • 文件大小:58495
    • 提供者:sadsd
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. baweijiafaqi

    0下载:
  2. 八位加法器的VHDL程序,可以实现八位二进制数的相加。-Eight adder VHDL program that can achieve the sum of eight binary digits.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:376097
    • 提供者:andy
  1. acc8

    0下载:
  2. VHDL语言设计八位加法器,可用于CPU中的加法模块,-VHDL language eight adder, adder module can be used for the CPU,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:510338
    • 提供者:wz
  1. adder_s

    0下载:
  2. 八位并行加法器,同时进位,利用VHDL语言,在ISE环境中建立工程-Eight parallel adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:314870
    • 提供者:blackmo
  1. ADDER8B

    0下载:
  2. 用VHDL描述了八位加法器,并通过波形仿真验证其正确性-Described in VHDL eight adder and verify its correctness by means of simulation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-02
    • 文件大小:292037
    • 提供者:zhugege
  1. adder8

    0下载:
  2. 基于vhdl的八位加法器,以两个四位加法器为基础(Eight bit adder of VHDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:1643520
    • 提供者:ydb
搜珍网 www.dssz.com