CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl delay

搜索资源列表

  1. delay.rar

    0下载:
  2. 用vhdl的状态机实现精确的1us的延时程序,VHDL state machine used to achieve precise 1us delay procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1015
    • 提供者:yim
  1. yanshi.rar

    0下载:
  2. 给予VHDL的延时函数 是简单的开始时间的延时,VHDL delay to the start of the function is a simple time delay
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:85444
    • 提供者:李建兵
  1. LMS_filter

    2下载:
  2. verilog HDL 写的LMS滤波器-LMS filter using verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:350313
    • 提供者:rayax
  1. IIRfilterFPGA

    0下载:
  2. 介绍了IIR 滤波器的FPGA 实现方法,给出了 IIR 数字滤波器的时序控制、延时、补码乘法和累加四个模块的设计方法,并用VHDL和FPGA 器件实现了IIR 数字滤波。-Introduction of the IIR filter FPGA implementation method of IIR digital filter timing control, delay, multiplication and accumulation complement the four modules
  3. 所属分类:Document

    • 发布日期:2017-03-28
    • 文件大小:661061
    • 提供者:杨培科
  1. CyclonePLL

    1下载:
  2. Cyclone™ FPGA具有锁相环(PLL)和全局时钟网络,提供完整的时钟管理方案。Cyclone PLL具有时钟倍频和分频、相位偏移、可编程占空比和外部时钟输出,进行系统级的时钟管理和偏移控制。Altera® Quartus® II软件无需任何外部器件,就可以启用Cyclone PLL和相关功能。本文将介绍如何设计和使用Cyclone PLL功能。 PLL常用于同步内部器件时钟和外部时钟,使内部工作的时钟频率比外部时钟更高,时钟延迟和时钟偏移最小,减小或调整时钟
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:554027
    • 提供者:裴雷
  1. DDR_SDRAM_controller

    0下载:
  2. DDR SDRAM控制器的VHDL源代码,含详细设计文档。 The DDR, DCM, and SelectI/O™ features in the Virtex™ -II architecture make it the perfect choice for implementing a controller of a Double Data Rate (DDR) SDRAM. The Digital Clock Manager (DCM) provides t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:131924
    • 提供者:xbl
  1. video_process_base_on_DSPandFPGA

    0下载:
  2. 基于高速数字信号处理器(DSP) 和大规模现场可编程门阵列( FPGA) ,成功地研制了小型 化、低功耗的实时视频采集、处理和显示平台. 其中的DSP 负责图像处理,其外围的全部数字逻辑功能都集成在一片FPGA 内,包括高速视频流FIFO、同步时序产生与控制、接口逻辑转换和对视频编/ 解码器进行设置的I2 C 控制核等. 通过增大FIFO 位宽、提高传输带宽,降低了占用EMIF 总线的时间 利用数字延迟锁相环逻辑,提高了显示接口时序控制精度. 系统软件由驱动层、管理层和应用层组成,使得硬件管
  3. 所属分类:Special Effects

    • 发布日期:2017-04-04
    • 文件大小:547256
    • 提供者:John
  1. QuartusIIandModelSim

    0下载:
  2. 本文主要描述了如何在QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过 MODELSIM进行功能仿真与后仿真的过程,主要为图解,含全部代码及仿真波形。 -This article describes how to enter at QUARTUS II program file, generate netlists and standard delay file, and then through the ModelSim for functional simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:277929
    • 提供者:朱雯
  1. lbuff_mem

    0下载:
  2. 延时代码,可以用在FPGA数据流水处理,图象处理,滤波-delay code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1756
    • 提供者:hungmin
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. BusDelay

    0下载:
  2. buffer delay vhdl model
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:517
    • 提供者:gnomix
  1. del_ctrl

    0下载:
  2. A VHDL logical example of memory delay controller -A VHDL logical example of memory delay controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1202
    • 提供者:gios78
  1. 2

    0下载:
  2. FPGA设计中几个基本问题的分析及解决 多时钟系统,时钟设计,时钟歪斜,门控时钟,毛刺信号及其消除,FPGA中的延时设计,FPGA设计应注意的其它问题-FPGA design analysis of a few basic questions and solve multi-clock system, clock design, clock skew, clock gating, and the elimination of burr signal, FPGA design of the d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:47735
    • 提供者:江凯
  1. vhdlyanshi

    0下载:
  2. 关于vhdl语言中的延时处理,适合初学者查看,非常不错的例子,顶一下呀-With regard to the delay in vhdl language processing, suitable for beginners view, a very good example of what you Top
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:149971
    • 提供者:lishaozhe
  1. zdsjdt

    0下载:
  2. 自动升降电梯控制器设计 要求: 设计一个6层楼的电梯控制器。 该控制器可控制电梯完成6层楼的载客服而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警; 同时指示电梯运行情况和电梯内外请求信息。-Auto-Lift elevator controller design requirements: design of a six-story elevator controller. The controller can control the completio
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:140896
    • 提供者:dws
  1. crc8

    1下载:
  2. 8bit CRC码生成器vhdl 代码,延时一个周期CRC码有效。-8bit crc code genergator,after delay one clock,crc code valid
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:770
    • 提供者:luoda
  1. delay

    0下载:
  2. 一个可编程延时,只要输入你想的延时周期就可以延时几个周期-a program delay verilog
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-23
    • 文件大小:16910
    • 提供者:liaolain
  1. vhdl-pdelay

    0下载:
  2. programmable delay register (16-bit) in VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:82927
    • 提供者:bfuclin
  1. delay

    0下载:
  2. 短小易用的时序延迟程序,适用于Xilinx公司的FPGA产品-delay.vhd for Xilinx FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:574
    • 提供者:xhnhd
  1. vhdl-delay

    0下载:
  2. vhdl延时程序,源程序,已调试,可以用-VHDL delay program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:587
    • 提供者:任贤齐
« 12 3 4 »
搜珍网 www.dssz.com