CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl fpga clock

搜索资源列表

  1. VHDL-FPGA-clock

    0下载:
  2. FPGA数字钟的设计,用VHDL语言编程,max+plus仿真,可在实际电路中验证-FPGA design, VHDL programming, max plus simulation, in the actual circuit verification
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:269899
    • 提供者:王越
  1. 基于fpga的多功能电子钟的设计

    0下载:
  2. 基于fpga的多功能电子钟的设计非常使用希望对大家有帮助啊,FPGA-based multi-functional electronic clock design to use would like to help everyone ah
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:347738
    • 提供者:xhb
  1. FPGA_Clk

    0下载:
  2. 基于Cyclone EP1C6240C8 FPGA的时钟产生模块。主要用于为FPGA系统其他模块产生时钟信号。采用verilog编写。 使用计时器的方式产生时钟波形。 提供对于FPGA时钟的偶数分频、奇数分频、始终脉冲宽度等功能。-Based on Cyclone EP1C6240C8 FPGA' s clock generator module. Is mainly used for the FPGA system clock signal generated in other
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1465971
    • 提供者:icemoon1987
  1. rs232

    0下载:
  2. fpga的串口读写程序,经硬件测试成功,波特率9600.可以改变分频值适应不同的时钟和波特率-fpga serial read and write procedures, by the hardware to test the success of 9600 baud rate. frequency value can be changed to adapt to a different clock and baud rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:383623
    • 提供者:cjy
  1. clk_vhdl

    1下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的数字钟工程项目,有50MHz分频、计数、译码等模块。采用VHDL语言编写。-Quartus II project files, is a typical FPGA-based digital clock project, there are sub-50MHz frequency, counting, decoding modules. Using VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-03
    • 文件大小:652741
    • 提供者:kg21kg
  1. clock

    0下载:
  2. 数字钟设计,有分秒显示,上下午显示,可下载到FPGA板子上进行数字显示哦-Digital clock design, there are minutes and seconds display, on the afternoon of shows can be downloaded to the FPGA on the board figures show Oh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3666
    • 提供者:幸福
  1. alarm-clock

    0下载:
  2. 基于vhdl的数字闹钟的设计。可实现计时、闹钟、调节时间功能。可以在FPGA上实现。-VHDL-based digital alarm clock design. Can achieve a time, alarm clock, adjust time function. FPGA implementation can be on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2287
    • 提供者:tony
  1. AIC

    2下载:
  2. 使用FPGA/CPLD设置语音AD、DA转换芯片AIC23,FPGA/CPLD系统时钟为24.576MHz 1、AIC系统时钟为12.288MHz,SPI时钟为6.144MHz 2、AIC处于主控模式 3、input bit length 16bit output bit length 16bit MSB first 4、帧同步在96KHz-The use of FPGA/CPLD set voice AD, DA conversion chip AIC23, FPGA/
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-13
    • 文件大小:1582
    • 提供者:张键
  1. fpga.fifo

    1下载:
  2. 异步FIFO是用来适配不同时钟域之间的相位差和频率飘移的重要模块。本文设计的异步FIFO采用了格雷(GRAY)变换技术和双端口RAM实现了不同时钟域之间的数据无损传输。该结构利用了GRAY变换的特点,使得整个系统可靠性高和抗干扰能力强,系统可以工作在读写时钟频率漂移达到正负300PPM的恶劣环境。并且由于采用了模块化结构,使得系统具有良好的可扩充性。-Asynchronous FIFO is an important module which always used to absorb the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:82017
    • 提供者:雷志
  1. lcd_driver

    0下载:
  2. 用FPGA控制12864液晶输出时钟信息 很好 可以根据自己的需要更改 -12864 LCD control with FPGA clock output information can be very good according to their need to change the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:406869
    • 提供者:刘军鹏
  1. digitalwatch

    0下载:
  2. Describe: This VHDL digital clock, the use of digital control and FPGA design to achieve a number of counter clock, show hours, minutes ,seconds and alarm. The procedure depends on the metric system and consider six decimal counter preparation. The e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:92436
    • 提供者:eric carmen
  1. FPGA-clock

    0下载:
  2. 基于VHDL的时钟设计(de2开发平台),内含源代码,各模块的时序仿真图,结构原理图,以及完成报告。供大家参考学习。-VHDL-based clock design (de2 development platform), contains the source code, simulation charts of each module, structure diagram, and the mission report. For reference study.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3565764
    • 提供者:Bertrand
  1. clock

    0下载:
  2. 实现多功能电子表,含有闹铃,时间精确到毫秒-Achieve multi-functional electronic watch, with alarm, time, milliseconds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2747375
    • 提供者:曹丽娜
  1. FPGA

    0下载:
  2. 主要介绍VHDL下,电子时钟、LCD、LED、电子琴,电梯等开发程序。-Introduces the VHDL, the electronic clock, LCD, LED, keyboard, elevator and other development programs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13878565
    • 提供者:huizeng
  1. fpga

    0下载:
  2. 在MAX+plusII软件平台上,熟练运用VHDL语言,完成数字时钟设计的软件编程、编译、综合、仿真,使用EDA实验箱,实现数字时钟的硬件功能。-In the MAX+ plusII software platform, skilled use of VHDL, digital clock to complete the design of software programming, compilation, synthesis, simulation, the use of EDA exper
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:108279
    • 提供者:wangguochuan
  1. exam3

    0下载:
  2. 对sparten 3E fpga的板子的一个各个功能模块的多功能vhdl程序,包括键盘防抖,数字时钟等-Sparten 3E fpga of the board of a multi-purpose function modules vhdl procedures, including keyboard, image stabilization, digital clock, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2060062
    • 提供者:邓民明
  1. clock

    0下载:
  2. 在FPGA下用VHDL语言设计的数字钟程序-Under the FPGA design using VHDL, digital clock program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:431695
    • 提供者:钟辉泉
  1. vhdl

    0下载:
  2. 用vhdl语言实现的电子时钟加闹铃,程序结构紧凑,由于FPGA的时钟很快,所以时钟非常准确-Electronic clock and alarm, vhdl language program structure is compact, very quickly due to the FPGA clock, the clock is very accurate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:183527
    • 提供者:蔡国峰
  1. clock

    0下载:
  2. FPGA时钟,vhdl,带设置时间,暂停,开始-FPGA clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:315565
    • 提供者:qiangge
  1. clock-

    0下载:
  2. 这是一个小小的时钟程序,在vhdl的环江夏运用fpga语言来编写-This is a small clock procedures, in vhdl fpga ring jiangxia use language to write
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2485801
    • 提供者:sven
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com