CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - wave generator vhdl code

搜索资源列表

  1. VHDL设计的相关实验,包括4位可逆计数器

    0下载:
  2. VHDL设计的相关实验,包括4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现。-VHDL design of experiments, including four reversible counters, four reversible binary code- Gray code converter design, the sequence detector design, the ROM-based sine w
  3. 所属分类:软件工程

    • 发布日期:2016-01-27
    • 文件大小:49152
    • 提供者:张联合
  1. wave

    0下载:
  2. 可控脉冲发生器的VHDL源代码。设计文件加载到目标器件后,按下按键开关模块的S8按键,在输出观测模块通过示波器可能观测到一个频率约为1KHZ、占空比为50 的矩形波。按下S1键或者S2键,这个矩形波的频率会发生相应的增加或者减少。按下S3键或者S4键,这个矩形波的占空比会相应的增加或减少。-Controllable pulse generator of the VHDL source code. Design documents loaded to the target device and p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:800
    • 提供者:王唐小菲
  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16831
    • 提供者:李仁刚
  1. DDS-baseddesignofthesinusoidalsignalgenerator

    0下载:
  2. 本设计采用AT89552单片机,辅以必要的模拟电路,实现了一个基于直接数字频率合成技术(DDS)的正弦谊号发生器。设计中采用DDS芯片AD9850产生频率1KHZ~10MHZ范围内正弦波,采用功放AD811控制输出电压幅度, 由单片机AT89S52控制调节步进频率1HZ。在此基础上,用模拟乘法器MC1496实现了正弦调制信号频率为1KHZ的模拟相度调制信号;用FPGA芯片产生二进制NRZ码,与AD9850结合实现相移键控PSK、幅移键控ASK、频移镇键FSK。-AT89552 the singl
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:209208
    • 提供者:何蓓
  1. dds9851

    0下载:
  2. 本文主要介绍的是采用直接数字频率合成的短波信号发生器,它主要以微电脑控制部分、直接数字频率合成(DDS)部分、数字锁相环频率合成部分、背光液晶显示部分、功率放大部分等组成。该软件系统采用菜单形式进行操作,操作方便明了,增加了很多功能。它通过启动DDS后,把内存缓存区的数据送到DDS后输出相应的频率,并把数据转换为BCD码,送到液晶显示器进行显示。该系统输出稳定度、精度极高,适用于当代的尖端的通信系统和精密的高精度仪器。-This paper describes the use of direct
  3. 所属分类:Project Manage

    • 发布日期:2017-03-28
    • 文件大小:467373
    • 提供者:xiang
  1. Sinewave

    0下载:
  2. vhdl code for sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

  1. ROM_based_sine_wave_generator_VHDL_design

    0下载:
  2. VHDL基于ROM的正弦波发生器的设计的实验报告,内附源代码-ROM-based sine wave generator VHDL design of experiment reports, included the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4367
    • 提供者:CXJ
  1. VHDL_code

    0下载:
  2. 三角波发生器,VHDL代码,要的朋友下载!-Triangular wave generator, VHDL code, to be a friend to download!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:573
    • 提供者:chzhqlove
  1. sine_package_256

    0下载:
  2. sine wave generator in VHDL code
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1042
    • 提供者:abou_kartouna
  1. Miniproject_DSF_BATCH-30

    0下载:
  2. source code for Sine wave generator using FPGA VHDL -source code for Sine wave generator using FPGA VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:834695
    • 提供者:SKA
  1. vhdl-code-for-sine-wave-generator

    0下载:
  2. it is a simple code in vhdl for sine wave generator. the test bench code is also provided in ths code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:21560
    • 提供者:nasimus
  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
  1. sin

    0下载:
  2. vhdl语言写的基于rom的正弦波发生器,包含代码和仿真图-VHDL language used to write rom-based sine wave generator contains code and simulation Figure
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:71625
    • 提供者:张瑞萌
  1. sqr

    0下载:
  2. VHDL CODE FOR SQUARE WAVE GENERATOR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:707556
    • 提供者:pinky
  1. SineGen

    0下载:
  2. Basic VHDL code to create a sine wave generator for an FPGA board.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-14
    • 文件大小:3239
    • 提供者:Joe
  1. VHDL

    0下载:
  2. 正弦波发生器代码VHDL 其中包括分频 正弦波数据-Sine wave generator VHDL code Divide the sine wave data including
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6876
    • 提供者:123456789
  1. sin

    0下载:
  2. 这是一个基于vhdl编写的正弦信号发生器,实现的功能为发生正弦波,给dac 0832采样-This is a sine signal generator based on VHDL code, realize the function of sine wave, give dac 0832 samples
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-26
    • 文件大小:318542
    • 提供者:薛冰
  1. boxingfashengqi

    0下载:
  2. 波形发生器的源代码,有正弦波,三角波,锯齿波,方波。modelsim仿真,包含testbench仿真代码,testbench用的verilog编写,波形发生器源代码用的VHDL编写。-Waveform generator source code, sine, triangle, sawtooth, square wave. modelsim simulation, testbench simulation code contains, verilog write testbench use, w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4753911
    • 提供者:hbxgwjl
搜珍网 www.dssz.com