CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 串流

搜索资源列表

  1. javaNIO

    0下载:
  2. 一系列缓冲区类支撑起了 Java 2 平台标准版的新 I/O(NIO)包。这些类的数据容器形成了其它 NIO 操作(如套接字通道上的非阻塞读取)的基础。在本月的 Merlin 的魔力中,常驻 Java 编程专家 John Zukowski 展示了如何操作那些数据缓冲区来执行如读/写原语这样的任务以及如何使用内存映射文件。在以后的文章里,他将把这里所提到的概念扩展到套接字通道的使用。 Java 2 平台标准版(Java 2 Platform Standard Edition,J2SE)1.4 对
  3. 所属分类:文档资料

  1. LCD1602+DS1302+DS18B20 Clock

    1下载:
  2. 这个是我做的时间比较长的一个作品了,名字叫做智能数字时钟,能够显示年、月、日、星期、时间、温度,还能够实现秒表功能、闹钟设置、闹钟音乐的选择、整点报时、通过串口温度数据的传输、涓流充电功能、出厂复位功能等。我是一直是有空的时间进行程序调试,并且不断的添加功能。这个还不是最完整的版本,还有很多功能。但是有一个缺点,就是时间不是非常准确,因为DS1302不是超精确的时钟芯片,一天可能相差4秒钟的样子。以后我就改用了SD2000时钟芯片,那还是不错的,一天相差个0.5秒。
  3. 所属分类:源码下载

    • 发布日期:2011-03-03
    • 文件大小:33959
    • 提供者:wuya817
  1. vb-plccommunication

    0下载:
  2. vb与plc串口通信程序 另加串口监视精灵 CommMonitor 侦测、拦截、逆向分析串口通信协议, 是侦测RS232/422/485串行端口 的专业工具软件,是软硬件工程师的最佳助手。CommMonitor 能侦听、拦截、记录、分析 串行通信协议,让您对应用程序操作串行端口的过程和细节,让您及时的模拟被侦听程序 或设备的数据、控制流,提高工作效率。-vb plc serial communication program plus a serial port monitor
  3. 所属分类:Communication

    • 发布日期:2017-03-25
    • 文件大小:538564
    • 提供者:谢霆锋
  1. lzw

    1下载:
  2. 实现lzw词典编码方法 Lzw编码算法的设计思路: 1.开始时的词典包含所有的根(先将所有单个字符编码),当前缀P时空的; 2.当前字符(C)=字符流中的下一个字符; 3.判断缀-符串P+C是否在词典中 A如果“是”:P=P+C//(用C扩展P) B.如果“否”: a 把代表当前前缀P的马子输出到码字流; b 把缀-符串P+C添加到词典; c 令P=C//(现在的P仅包含一个字符C); 4. 判断字符流中是否还有码字要译 (1)如果是,就返回到2;
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-21
    • 文件大小:1236
    • 提供者:符晓娟
  1. SDH

    0下载:
  2. SDH开销的接收处理,要求: 1, A1和A2字节为帧头指示字节,A1为“11110110”,A2为“00101000”,连续3个A1字节后跟连续3个A2字节表示SDH一帧的开始。要求自行设计状态机,从连续传输的SDH字节流中找出帧头。 2, E2字节为勤务话通道开销,用于公务联络语音通道,其比特串行速率为64KHz(8*8K=64)。要求从SDH字节流中,提取E2字节,并按照64K速率分别串行输出E2码流及时钟,其中64K时钟要求基本均匀。(输出端口包括串行数据和64K串行时钟)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1633
    • 提供者:刘镇宇
  1. SDHAnalysis

    2下载:
  2. 光纤通信中的SDH数据帧解析及提取的VHDL实现源代码,共包含帧同步、E1及F1码流提取、DCC1码流提取、帧头开销串行输出四个主要模块-SDH fiber-optic communication data frame analysis and retrieval implementation of VHDL source code, include the frame synchronization, E1 and F1 stream extraction, DCC1 stream extra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:31485
    • 提供者:张晓彬
  1. MyTestManage

    0下载:
  2. vlc流udp接收、http接收,文件磁盘管理,串口通讯等。-udp receive vlc streaming, http receive, file disk management, serial communications.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-09
    • 文件大小:64150465
    • 提供者:wenjun
  1. Implementation-of-SIFT-detection

    0下载:
  2. 摘要:针对SIFT 特征提取的硬件实现结构复杂、难以达到实时性的问题,提出一种改进的高斯金字塔构建方法,该方法从 构建高斯金字塔的原始意义出发,大幅减少了所需的运算时间和存储单元。同时提出并验证了合适的SIFT 参数配置,以及 具体的硬件优化和并行实现方案,使整个系统可以在一片单独的FPGA 芯片上实现。该系统读入串行像素数据流,输出关键 点的特征描述符,并采用256×256 的图像对其进行了仿真验证,结果表明完全达到了实时的效果。 关键词:特征点 实时 尺度不变特征变换 现场可
  3. 所属分类:Special Effects

    • 发布日期:2017-03-27
    • 文件大小:241854
    • 提供者:李齐贤
  1. seqdet

    0下载:
  2. 对串行输入的数据流进行检测的VERILOG源代码-On the serial input data streams to detect the Verilog source code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-27
    • 文件大小:18435
    • 提供者:刘建明
  1. DS1302

    0下载:
  2. DS1302 是 DALLAS 公司推出的涓流充电时钟芯片 内含有一个实时时钟/日历和 31 字节静态 RAM 通过简 单的串行接口与单片机进行通信 实时时钟/日历电路提供秒 分 时 日 日期 月 年的信息 每月的天 数和闰年的天数可自动调整 时钟操作可通过 AM/PM 指示决定采用 24 或 12 小时格式 DS1302 与单片机之 间能简单地采用同步串行的方式进行通信 仅需用到三个口线 1 RES 复位 2 I/O 数据线 3 SCLK 串行时钟 时钟/RAM 的读/写数据以
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:192836
    • 提供者:李明
  1. DES

    0下载:
  2. 流密码RC4的加密和解密 1、能够对字串进行加密; 2、可以自动生成加密密钥和解密密钥对; 3、能够显示给定密钥下明文加密后的密文; 4、能够用相应解密密钥正确解密密文,显示结果,并与原明文进行比对。-RC4 Encrpytion 1.Encrpyt strings 2.Create pairs of keys for encoding and decoding automatically 3.Present the crptograph 4.Decode the
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-09
    • 文件大小:1960071
    • 提供者:Jane
  1. serialport

    0下载:
  2. 很有名的串口类,功能封装的相当完善易用,其中还包括了流控的设置-Well-known serial-type
  3. 所属分类:Com Port

    • 发布日期:2017-04-29
    • 文件大小:40434
    • 提供者:保密
  1. 2MW_wind_grid_inverter

    1下载:
  2. 针对兆瓦级风电并网逆变器主电路研制中存在的并联扩容、开关频率较低和LCL滤波器难以优化设计等问题,提出了采用交流侧串接电感再进行并联的均流方案,采用载波移相技术提高变流器的等效开关频率,提出了LCL滤波器的设计原则,并给出了上述设计的理论依据和实现方法。通过对2兆瓦风电变流器主电路的仿真验证了上述技术方案。-MW-class wind power for grid-inverter main circuit of the parallel development of existing capa
  3. 所属分类:Algorithm

    • 发布日期:2017-04-29
    • 文件大小:212767
    • 提供者:cesc
  1. jdkz

    2下载:
  2. 电脑家电控制系统 本系统是利用电脑通过串口和单片机进行通信,从而通过电脑控制家电的开和关,也可用于其它地方控制其它电器。 此系统制作资料齐全,也很简单。 电脑上位机软件下载 电路原理图和PCB板图下载 源程序下载 1.本系统可以通过PC远程或者在家控制家用电器,为适应各种场合,只需更改上位机相应的LABEL的名称即可!(这点也是这个软件的不足之一,会在以后的时间里,慢慢完善。) 2.硬件原理图中,三端稳压管7805,未加任何散热装
  3. 所属分类:Other Embeded program

    • 发布日期:2017-01-31
    • 文件大小:535102
    • 提供者:cjwei
  1. ZLG7289

    0下载:
  2. ZGL7289全套中文资料+实例 ZLG7289B是广州周立功单片机发展有限公司自行设计的,具有SPI串行接口功能的可同时驱动8位共阴式数码管(或64只独立LED)的智能显示驱动芯片,该芯片同时还可连接多达64键的键盘矩阵,单片即可完成LED显示﹑键盘接口的全部功能,该芯片经过了多年的验证,现正式转化为ASIC芯片,一次性流片成功,让您使用无忧!。ZLG7289B内部含有译码器,可直接接受BCD码或16进制码,并同时具有2种译码方式,此外,还具有多种控制指令,如消隐﹑闪烁﹑左移﹑右移﹑段寻
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1067436
    • 提供者:猩猩
  1. MicrocomputerPrincipleInnerSelf

    0下载:
  2. 采用查询方式将下列测试电文送到辅串口发送器,经过内部自环接受,显示在屏幕上,通信速率选用4800波特,无校验传输,一桢字符有8个数据位,2个停止位,按下任意键时测试结束(2) 虚页的个数可以事先给定(例如10个),对这些虚页访问的页地址流(其长度可以事先给定,例如20次虚页访问)可以由程序随机产生,也可以事先保存在文件中。 (3) 要求程序运行时屏幕能显示出置换过程中的状态信息并输出访问结束时的页面命中率。 -Query mode using the following test mes
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-03-30
    • 文件大小:899
    • 提供者:时间
  1. LR

    1下载:
  2. 1.实验目的 设计一个LR分析器,实现对表达式语言的分析,加深对LR语法分析方法的基本思想的理解,掌握LR分析器设计与实现的基本方法。 2.实验要求 建立文法及其LR分析表表示的数据结构,设计并实现一个LALR(1)的分析器,对源程序经词法分析后生成的二元式代码流进行分析,如果输入串是文法定义的句子则输出“是”,否则输出“否”。 3.实验内容 (1)文法描述及其LALR(1)分析表 描述表达式语言的文法G如下: -1. The experiment was to de
  3. 所属分类:Compiler program

    • 发布日期:2016-10-18
    • 文件大小:290259
    • 提供者:sh_xj
  1. CommTest

    0下载:
  2. 串口通信例程,内含串口类,一个老外写的,我修正了一个bug:在接收数据流未完成前退出程序会导致进程驻留在内存-Serial communication routines, containing serial port class, written by a foreigner, I fixed a bug: the receiving data stream does not quit the program before the completion of the process will l
  3. 所属分类:Com Port

    • 发布日期:2017-04-08
    • 文件大小:50362
    • 提供者:yang
  1. mscomm

    0下载:
  2. 串口控件编程,使用mscomm控件,串口控件有别于文件流方法,易学易懂,但是必须安装这个控件。-Serial control programming, using mscomm control, serial control is different from the file stream method, easy to learn and easy to understand, but must install the controls.
  3. 所属分类:Communication

    • 发布日期:2017-04-24
    • 文件大小:258162
    • 提供者:蔡理君
  1. danpianjiSPI

    0下载:
  2. 本文章介绍了单片机SPI是串行外设接口基本知识,主要对数据流的同步问题从软件和硬件两方面进行了研究,并列举了实例,对单片机串口通讯的学习很有帮助-This article describes the SPI is a serial peripheral interface microcontroller basic knowledge, the main stream of data synchronization software and hardware from the two aspec
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:145309
    • 提供者:lili
« 1 2 3 4 5 67 8 9 10 11 ... 15 »
搜珍网 www.dssz.com