CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 出租车计费

搜索资源列表

  1. chuzuchejifeixitong

    0下载:
  2. 出租车计费系统的 实现,已物理验证。程序简洁。-taxi system of billing, physical verification. Simple procedures.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6120
    • 提供者:李超
  1. chuzuche51

    0下载:
  2. 用51系列单片机设计的一个出租车计费器。-with 51 series microcontroller design of a taxi meter.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:162730
    • 提供者:sfdfsdf
  1. taxi_counter

    0下载:
  2. 用VHDL编写的一个出租车计费器,起步6元计2公里,此后每半公里计0.8元,停车等待每2.5分计0.8元。通过仿真,但未下载到CPLD测试-a taxi prepared by the accounting device, starting six yuan or 2 km, then every half kilometer or 0.8 yuan, stopping to wait for every 2.5 minutes or 0.8 yuan. Through simulation,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:243171
    • 提供者:尚方喆
  1. taxijifeiqi

    0下载:
  2. 《出租车计费器》绝对好用的EDA程序!已经通过测试!VHDL语言编写-"Taxi-Meter" absolutely good for EDA procedures! Have passed the test! VHDL language
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1827
    • 提供者:潘晓峰
  1. taix_fee

    1下载:
  2. verilog HDL编写的出租车计费系统
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:554304
    • 提供者:yukiflower
  1. SystemOfTaxiFeeBasedOnVerilogHDL

    0下载:
  2. 摘 要:以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。 关键词:Verilog HDL;电子自动化设计;硬件描述语言;MAX+PLUSⅡ
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:212295
    • 提供者:杨轶帆
  1. car

    0下载:
  2. 基于vhdl语言的出租车计费源代码及仿真
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:28383
    • 提供者:hlj1232123
  1. chuzuchejifeixitong

    1下载:
  2. 出租车计费系统实验报告,含详细的设计过程及源码!
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:99071
    • 提供者:张鸣
  1. 出租车计费器

    1下载:
  2. 主要使用汇编语言实现出租车计价器的设计,内附详细代码以及设计文档
  3. 所属分类:Windows编程

    • 发布日期:2009-10-06
    • 文件大小:2836341
    • 提供者:tobeatree
  1. EDA课程设计--出租车计费系统

    0下载:
  2. 有很多设计的例子,如交通灯,出租车,频率计等等
  3. 所属分类:VHDL编程

  1. TAXI.rar

    0下载:
  2. 出租车计费显示,采用凌阳61单片机加液晶显示器实现的。具有产品价值,Taxi billing shows that the use of Sunplus 61 single-chip LCD plus achievable. With product value
  3. 所属分类:SCM

    • 发布日期:2017-05-27
    • 文件大小:10176581
    • 提供者:徐祖建
  1. Taxibillingsystem

    0下载:
  2. 出租车计费系统 里面有相应的键盘扫描程序,以及相应的 显示模块-Taxi billing system there are corresponding keyboard scanning procedures, and corresponding display module
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:9156
    • 提供者:pzh
  1. K51taximeter

    0下载:
  2. 出租车计费系统的完整源码,在51单片机上面测试可以用-The complete source code of taxi meter,it isn useful on 51 microprocessor
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:68862
    • 提供者:wangfeng
  1. chuzuche

    1下载:
  2. 51单片机实现的出租车计费系统,有计费、里程显示,白天黑夜价格转换等功能-51dan pian ji shi xianchuzuchejifexitong
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:85076
    • 提供者:yyx
  1. taxicounter

    0下载:
  2. 出租车计费器能实现计费功能,三位数码管显示。起步费为6元-taxi counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:649694
    • 提供者:zhuming
  1. EDAsheji-chuzuche-jifei

    0下载:
  2. 设计一个出租车计费器,能按路程计费,具体要求如下: (1)实现计费功能,计费标准为:按行驶里程计费,起步价为6.00元,并在汽车行驶2km后按1.2元/km计费,当里程数达到15km后,没千米加收50 的空驶费,车辆停止和暂停时不计费。 (2)现场模拟汽车的启动、停止、暂停和换挡等状态。 (3)设计数码管显示电路,将车费和路程显示出来,各有1位小数。 -Design of a taxi meter, to the journey billing, specific require
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:249462
    • 提供者:wyj
  1. 出租车

    0下载:
  2. 可以实现计费功能,与距离。已经仿真过可以使用(Billing function and distance can be realized.It has been simulated and can be used)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:242688
    • 提供者:包子2515
  1. 出租车计费器设计

    1下载:
  2. 实现出租车计费功能,可以在数码管上显示里程及费用(To realize taxi billing function, it can show mileage and cost)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:13806592
    • 提供者:九霄翎
  1. chuzujifei

    0下载:
  2. 使用Quartus II 9.0编写的出租车计费系统源码,是课程设计大作业验证通过,可以直接仿真验证(The use of Quartus II 9 written taxis charging system source code, is the course design of large work verification through, can be directly simulated and verified)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:398336
    • 提供者:硅谷
  1. 基于vhdl的出租车计价器

    0下载:
  2. 利用VHDL语言设计了一种出租车计费器,能够实现计费及显示的功能.采用动态扫描技术分别显示汽车载客时行驶里程、中途停车等待时间及总费用.在Altera公司的QuartusⅡ9.0开发环境下进行了源程序的编译、仿真,下载到FPGA芯片EP 1K30TC 144-3进行了硬件测试,具有一定的实用价值.
  3. 所属分类:VHDL编程

« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com